ImageVerifierCode 换一换
格式:DOCX , 页数:20 ,大小:351.08KB ,
资源ID:24149123      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/24149123.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数字电路自由创作三.docx)为本站会员(b****2)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

数字电路自由创作三.docx

1、数字电路自由创作三数电实验报告题 目 基于FPGA的八音符电子琴电路设计 时 间 2011年6月25日 第一章 实验任务与原理一、实现功能基于FPGA开发办设计一个八音符电子琴,由键盘输入控制音响或自动演奏。用户可以将自己编制的乐曲存入电子琴,演奏时可以选择键盘输入乐曲或者自动演奏已存入的乐曲。二、原理阐述1、系统设计方案:采用VHDL语言编程来实现电子琴的各项功能。系统主要由电子琴发声模块、选择控制模块和储存器模块组成。 八音符电子琴设计总体框图该系统由三个模块:Songer、Div和SEG7(7段译码器)组成。(1) Songer模块:此模块包括3个小模块,分别是NoteTabs模块,To

2、neTab模块和Speakera模块。此外,还需建立一个名为“music”的LPM_ROM模块与NoteTabs模块连接。(2) NoteTabs模块:该模块的功能就是定义音符数据ROM“music”随着该模块中的计数器控制时钟频率速率作加法计数时,即地址值递增时,音符数据ROM中的音符数据。将从ROM中通过ToneIndex3.0端口输向ToneTaba模块,演奏采茶舞曲。(3) ToneTaba模块:是乐曲简谱码对应的分频预置数查找表电路,其中设置了乐曲的全部音符所对应的分频置数,每一音符的停留时间由音乐节拍和音调发生器模块NoteTabs的CLK的输入频率决定,这些值由对应于ToneTa

3、ba的4位输入值Index3.0确定,最多有16种可选值。输向ToneTaba中Index3.0的值ToneIndex3.0的输出值与持续的时间由模块NoteTabs决定。(4) Speakera模块:是一个数控分频器,音符的频率可由此模块获得。由CLK端输入一具有较高频率的信号,通过Speakera分频后由SPKOUT输出。由于直接从数控分频器中出来的输出信号是脉宽极窄的脉冲式信号。为了利用驱动扬声器,需加一个D触发器以均衡其占空比,频率将是原来的1/2。Speakera对CLK输入信号的分频比由预置数Tone决定。SPKOUT的输出频率将决定每一音符的音调。(5) Div模块:由于所使用的

4、硬件设备不能满足设计所需要的两个CLK输出 的频率,所以使用一个分频器来实现把一个50MHz的晶体振荡频率分成一个12MHz,一个8Hz两个分频率,再把两个频率分别给所需的两个模块。(6)EG7模块:SEG7 模块是一个七段译码器,作用是在硬件上显示音频的高低,用0到7分别对应空节拍do、ri、mi、fa、suo、la、xi,高音时,LED灯亮,数码管显示对应数字。2、发音原理:(1) 乐曲演奏的原理组成乐曲的每个音符的频率值(音调)及其持续时间(音长)是乐曲能连续演奏所需的两个基本数据,因此只要控制输出到扬声器的激励信号的频率的高低和持续时间,就可以使扬声器发出连续的乐曲声。(2)音调的控制

5、: 频率的高低决定了音调的高低。(4)基准频率f0的选取 所有不同频率的信号都是从同一个基准频率f0分频而得到的。由于音节频率多为非整数,而分频系数又不能为小数,因此必须将计算所得的分频数四舍五入取整。若基准频率过高,则分频比太小,取整后误差较大。若基准频率过高,虽然误差减小,但分频数变大。综合这两方面因素,在尽量减小频率误差的前提下取合适的基准频率,在此取f0=12MHz。(5)分频系数,二进制计数器计数的容量N和预置数的选取 分频系数A=f0/音名频率分频系数n=f0/音名频率/2N=MAX分频系数n 由表1可得最大分频系数为1274,因此N=2048为2的11次方。因此二进制计数器设为1

6、1位二进制加法计数器,其计数容量为2048,计数的最大值为2047。预置数=N-分频系数n表1为各音阶的频率、对应的分频系数及预置数:(6)音长的控制:音符的持续时间须根据乐曲的速度及每个音符的节拍数来确定的。采茶舞曲中最短的音符为四分音符,如果全音符的持续时间为1s,则四分音符的持续时间为0.25s,二分音符持续的时间为0.5s等等,只需再提供一个4Hz的时钟频率。每来一个脉冲计一次数,每一计数值的停留时间为0.25s,即要输入一个全音符时需要计四次数才行,则应在Rom表格中输出相应音符四次,表示一个全音符的持续时间。第二章 FPGA模块程序设计与仿真1、Songer模块Songer模块由三

7、个模块组成:NoteTabs模块,ToneTaba模块和Speakera模块。Notetabs模块类似于弹琴人的手指,Tonetaba类似于琴键,Speakera类似于琴弦或音调发生器。(1)NoteTabs模块:原理:在这个模块中设置了一个8位二进制计数器,作为音符数据ROM的地址发生器。这个计数器的计数频率选为4HZ,即每一计数值的停留时间为0.25秒,当全音符设为1秒时,4分音符持续时间为0.25s, 2分音符持续的时间为0.5s等等。每来一个脉冲计一次数,每一计数值的停留时间为0.25s,即要输入一个全音符时需要计数4次才行,则应在Rom表格中输出相应音符四次,表示一个全音符的持续时间

8、,要输入一个2分音符则需要计数2次,依次类推。程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee。Std_logic_arith.all;entity notetabs is port(clk1:in std_logic; toneindex:out std_logic_vector(3 downto 0);End notetabs;architecture one of notetabs iscomponent music port(address:in std_logic_

9、vector(7 downto 0); clock:in std_logic; q:out std_logic_vector(3 downto 0);end component; signal counter:std_logic_vector(7 downto 0);begincnt8:process(clk1,counter) begin if counter=336 then counter=00000000; elsif(clk1event and clk1=1)then countercounter,q=toneindex,clock=clk1);end;NoteTabs模块波形仿真图

10、:(注:每来一个时钟clk,输出一个相应的数,每个音符的拍子不一样所记次数也不一样)图5 Notetabs的波形仿真图采茶舞曲简谱如图6图6 采茶舞曲简谱下表7是Rom表格:(即为此程序中调用的music模块)。表7 Rom表格(2)ToneTaba模块:原理:ToneTaba是乐曲简谱码对应的分频预置数查表电路。音符的持续时间需要根据乐曲的速度及每个音符的节拍数来确定,Tonetaba的功能首先是为Speakera提供决定所发音符的分频预置数,而此数在Speakera输入口停留的时间为此音符的节拍值。模块Tonetaba是乐曲简码对应的分频预置数查表电路,其中设置了采茶舞曲乐曲全部音符所对应

11、的分频预置数,共16 个,每一音符的停留时间由音乐节拍和音调发生器模块Notetabs的clk的输入频决定,在此为4Hz。这16个值得输出由对应于Tonetaba的4位输入值Index3.0确定。输向Tonetaba中Index3.0的值,ToneIndex3.0的输出值与持续的时间由模块Notetabs决定。程序library ieee;use ieee.std_logic_1164.all;use ieee,std_logic_arith.all;use ieee.std_logic_unsigned.all;entity ToneTaba isport( Index : in std_l

12、ogic_vector(3 downto 0); CODE : out std_logic_vector(3 downto 0); HIGH : out std_logic; Tone : out std_logic_vector(10 downto 0);End ToneTaba;architecture one of ToneTaba is begin Search: process(Index) begin case Index is When 0000=tone=11111111111;code=0000;hightone=01100000101;code=0001;hightone=

13、01110010000;code=0010;hightone=10000001100;code=0011;hightone=10000110101;code=0100;hightone=10010101101;code=0101;hightone=10100001010;code=0110;hightone=10101011100;code=0111;hightone=10110000010;code=0001;hightone=10111001000;code=0010;hightone=11000000110;code=0011;hightone=11000101000;code=0100

14、;hightone=11001010110;code=0101;hightone=11010000100;code=0110;hightone=11011000000;code=0001;hightone=11011101010;code=0010;high null; end case; end process; end;(注:每一个index的值都对应一个code,tone值,index的值从0000到0111对应的,high为低电,从1000到1111对应的high为高电。)ToneTaba模块波形仿真图如图10:图10 Tonetaba的波形仿真图(3)Speakera(数控分频器)模

15、块:原理:数控分频器的功能是当在输入端给定不同的输入数时,将对输入的时钟信号有不同的分频比,数控分频器是用计数值可并行预置的加法计数器来完成的。在此,音符的频率可由数控分频器Speakera获得。由其clk端输入一具有较高频率的信号,通过Speakera分频后由 Spkout 输出,由于直接从数控分频器中出来的输出信号是脉宽极窄的脉冲式信号,为了有利于驱动扬声器,需加一个D触发器以均衡其占空比,但这时的频率将是原来的1/2。Speakera对clk输入信号的分频比由11位预置数Tone10.0决定。Spkout 的输出频率将决定每一音符的音调,这样分频计数器的预置值Tone10.0与Spkou

16、t的输出频率就有了对应关系。程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity speakera is port(clk2:in std_logic; tone:in std_logic_vector(10 downto 0); spks:out std_logic);end speakera;architecture one of speakera is signal preclk,fullspks:std_logic;b

17、egin divideclk:process(clk2) variable count4:std_logic_vector(3 downto 0); begin preclk11 then preclk=1; count4:=0000; elsif clk2event and clk2=1 then count4:=count4+1; end if; end process; genspks:process(preclk,tone) variable count11:std_logic_vector(10 downto 0); begin if preclkevent and preclk=1

18、 then if count11=16#7FF# then count11:=tone; fullspks=1; else count11:=count11+1; fullspks=0; end if; end if; end process; delayspks:process(fullspks) variable count2:std_logic; begin if fullspksevent and fullspks=1 then count2:=not count2; if count2=1 then spks=1; else spks=1 then cnt:=0; tmp:=not

19、tmp; else cnt:=cnt+1; end if; end if; CLK12MHz=3124999 then cnt:=0; tmp:=not tmp; else cnt:=cnt+1; end if; end if; CLK8Hz=tmp; end process u2;end one;Div模块波形仿真图如图14: 图14 Div的波形仿真图(注:由50MHz的时钟信号分频得到CLK12MHz,CLK12MHz)3、SEG7模块:原理: SEG7 模块是一个七段译码器,作用是在硬件上显示音频的高低,用0到7分别对应空节拍do、ri、mi、fa、suo、la、xi,高音时,LED灯

20、亮,数码管显示对应数字。VGA为0010。 程序:library ieee; use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee std_logic_arith.all;ENTITY SEG7 IS PORT(num:IN std_logic_vector(3 downto 0); A:OUT std_logic; B:OUT std_logic; C:OUT std_logic; D:OUT std_logic; E:OUT std_logic; F:OUT std_logic; G:OUT std_logic; DP:OUT std_logic );END SEG7;ARCHITECTURE fun OF SEG7 ISsignal led:std_logic_vector(6 downto 0);BEGIN A=led(6); B=led(5); C=led(4); D=led(3); E=led(2); F=led(1); G=led(0); DP=0; ledclk8HZ,toneindex=

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1