ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:199.89KB ,
资源ID:23235068      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/23235068.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程设计交通灯控制器.docx)为本站会员(b****2)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程设计交通灯控制器.docx

1、EDA课程设计交通灯控制器EDA课程设计(交通灯控制器) K EDA技*锦程祓针 8 丈通爭投制希 ft t 专铁 修生就名 豊认号 北导農轉 4 A日大课程设计任务书课程EDA技术课程设计 题目交通灯控制器 专业 姓 学号 主要内容、基本要求、主要参考资料等 主要内容:设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄 三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯 亮则给行驶中的车辆有时间停在禁行线外。基本要求:1、 红、绿、黄发光二极管作信号灯,用传感器或逻 辑开关作检测车辆是否到来的信号,实验电路用逻辑开 关代替。2、 主干道处于常允许通行的

2、状态,支干道有车来时 才允许通行。主干道亮绿灯时,支干道亮红灯;支干道 亮绿灯时,主干道亮红灯。3、 主、支干道均有车时,两者交替允许通行,主干 道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路,选择1HZ时钟脉冲作为系统时钟。4、在每次由绿灯亮到红灯亮的转换过程中,要亮5 秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外, 设立5秒计时、显示电路。主要参考资料:1潘松著EDA技术实用教程(第二版).北京:科学 出版社,20052康华光主编电子技术基础模拟部分.北京:高教出版社,2006 社,2003.完成期限 指导教师 专业负责人 2010年3月8日一、总体设计思想1

3、 基本原理计数模块、置数模块、主控制器模块和译码器模块。置数模块将交通灯的 点亮时间预置到置数电路中,计数模块以秒为单位倒计时,当计数值减为零时, 主控电路改变输出状态,电路进入下一个状态的倒计时。其中,核心部分是主 控2 设计框图图一交通灯控制系统的原理框图图二交通灯控制器的流程图1.设计思路:在某一十字路口交叉地带,可设计东西走向的道路为甲车道,南北走向的 道路为乙车道。甲乙车道的交通灯需按交通法则来依次交替运行。则可设计一 个状态循环的逻辑电路。2.分析系统的逻辑功能:交通灯控制系统的原理框图如图一所示。它主要由控制器、定时器、译码 器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中

4、定时器和控制器的标准时钟信号源,译码器输出 两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要 部分,由它控制定时器和译码器的工作。图中:TL:表示甲车道或乙车道绿灯亮的时间间隔为45秒,即车辆正常通行的 时间间隔。定时时间到,TL=1,否则,TL=0oTY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=OoST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控 制定时器开始下个工作状态的定时。(1) 图甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙 车道禁止通行。绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到 下一工作状态。

5、(2) 甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止 通行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔 TY时,控制器发出状态转换信号ST,转到下一工作状态。(3) 甲车道红灯亮,乙车道绿灯亮。表示甲车道禁止通行,乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转 到下一工作状态。(4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上未过 停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间 隔TY时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。交通灯以上4种工作状态的转换是由控制器进行

6、控制的。设控制器的四种 状态编码为00、01、11、10,并分别用SO、S1、S3、S2表示,则控制器的工 作状态及功能如图二所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。 为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定:BG=1:乙车道绿灯亮;AY=1:甲车道黄灯亮;BY=1:乙车道黄灯亮;AR=1:甲车道红灯亮;BY=1:乙车道红灯亮;由此得到交通灯控制器的流程图,如图二所示。设控制器的初始状态为so(用状态框表示so),当SO的持续时间小于45秒时,TL=0(用判断框表示TL),控制器保持SO不变。只有当SO的持续时间等于45秒时,TL=1,控制器发出制态转换信号ST

7、(用条件输出框表示ST),并转换到下一个工作状态。二、设计步骤和调试过程1、总体设计电路2、模块设计和相应模块程序library ieee;use ieee. std_logic_1164. all;entity trafficl isport(elk, sensori, sensor2, reset : in std_logic;redl, yellowl, greenl, red2, yellow2, green2 : outstd_logic);end trafficl;architecture a of trafficl istype state_t is (stO, stl, st2

8、, st3, st4, st5, st6, st7);signal state, nextstate : sta/te_t;beginupdate_stae :process (reset, elk)beginif (reset二1) thenstate = stO ;elsif elk event and elk二1 thenstate = nextstate ;end if ;end process;transitions :process (state, sensori, sensor2)variablecntl, cnt2, cnt3, cnt4, cnt5, cnt6, cnt7,

9、cnt8 :integer range 0 to255;beginredl =, O ; yellowl二O ; greenl = O;red2 =, O ; yellow2 - O ; green2 = O;when stO 二greenl = 1 T ;red2 = T ;if sensor2 = sensori thennextstate = stl;elsif (sensori = O and sensor2 = T) thennextstate二 st2;elsenextstate greenl =/;red2二1;nextstate greenl = T ;red2 = J T ;

10、nextstate yellowl O 1;red2 1;nextstate redl二1;green2 = i ;if sensor2 = sensori thennextstate = st5;elsif (sensori = 1 and sensor2 二O) thennextstate = st6;elsenextstate redl二1;green2 =, T ;nextstate redl = J I1 ;green2二1;nextstate redl U 1;yellow2 = 1;end case;end process;end a;3、仿真及仿真结果分析做了一周的课程设计,使

11、我感慨颇多,有关于VHDL方面的,更多的收获 是多方面的。VHDL程序设计也不熟炼,学的东西好像它是它,我是我似的,理论联系 不了实际。以前的汇编语言没学好,一开始的程序这块儿就要令我抓狂了。后 来请教我们班的同学,一起学习。我觉得我对VHDL的一些知识在这个动手的过程中真的是从无到有增长 的。一段时间里,我应该不会再如此迷惘。参考资料1潘松著EDA技术实用教程(第二版).北京:科学出版社,2005.大庆石油学院课程设计成绩评价表课程名称EDA技术课程设计题目名称交通控制灯学生姓名段萍 学号 060901140109 豊警师姓名职称序号评价项目指 标满分评分1工作量、工作态度和出勤率按期圆满的完成了规定的任务,难易程度和工作 量符合教学要求,工作努力,遵守纪律,出勤率 高,工作作风严谨,善于与他人合作。202课程设计质量课程设计选题合理,计算过程简练准确,分析问 题思路清晰,结构严谨,文理通顺,撰写规范, 图表完备正确。453创新工作中有创新意识,对前人工作有一些改进或有 一定应用价值。54答辩能正确回答指导教师所提出的问题。30总分评语:指导教师2010年3月13日

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1