ImageVerifierCode 换一换
格式:DOCX , 页数:18 ,大小:34.62KB ,
资源ID:23165550      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/23165550.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(计算机组成原理实验报告 1.docx)为本站会员(b****1)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

计算机组成原理实验报告 1.docx

1、计算机组成原理实验报告 1实 验 报 告课 程 名 称: 计算机组成原理 系 别: 学 生 姓 名: 班 级: 学 号: 成 绩: 指 导 教 师: 开 课 时 间:2013-2014学年第二学期实验一一、 实验目的 通过仿真软件验证真值转化为原码、反码、补码、移码,补码加减交替除法运算规则,补码两位乘法运算,补码一位乘法运算,乘法原码两位运算,乘法原码一位运算,原码加减交替除法运算,补码加减法运算。2、实验设备电脑,仿真软件3、实验内容(1)真值转化为原码、反码、补码、移码A.原码1.如果真值是正数,原码的最高位为“0”,其余各位与真值的对应位相同;2.如果真值是负数,原码的最高位为“1”,

2、其余各位与真值的对应位相同;3.如果真值是“0”,原码有两种表示法,即0000或1000,分别表示+0或-0;4.因此原码的数值位表示数值的绝对值,而符号位分别用0和1表示数值的正和负。5.综上所述,如果把原码看成无符号整数,则真值到原码的转换规则是:当x0时,x原=x;当x0时,x原=2(n-1)-x或2(n-1)+|x|,“”表示指数。 B反码1.如果真值是正数,反码的最高位为“0”,其余各位与真值的对应位相同;2.如果真值是负数,反码的最高位为“1”,其余各位将真值的各位取反;3.如果真值是“0”,反码有两种表示法,即0000或1111,分别表示+0或-0;4.综上所述,如果把反码看成无

3、符号整数,则真值到反码的转换规则是:当x0时,x反=x;当x0时,x反=2(n)+x-1(模2n),“”表示指数。C补码1.如果真值是正数,补码的最高位为“0”,其余各位与真值的对应位相同;2.如果真值是负数,补码的最高位为“1”,其余各位将真值的各位取反,末位加1;3.如果真值是“0”,补码表示法是唯一的,即0000,在补中1000表示-1,最高位既表示符号为负数,也表示数值1,不能表示-0;4.负数补码的另一种求法是,最高位(符号位)为“1”,从真值的低位向高位数第一个1以及其右边的各位保持不变,而其左边的各位按位取反,即可得到补码的数值位。5.综上所述,如果把补码看成无符号整数,则真值到

4、补码的转换规则是: x补=2(n)+x(模2n),“”表示指数。D移码1.如果真值是正数,移码的最高位为“1”,其余各位与真值的对应位相同;2.如果真值是负数,移码的最高位为“0”,其余各位将真值的各位取反,末位加1;3.如果真值是“0”,移码表示法是唯一的,即1000;4.不难看出,移码与补码相比,数值位完全相同,而符号位相反。两者的转换非常容易。5.移码只用来表示浮点数中的阶码,不存在定点小数格式。6.综上所述,如果把移码看成无符号整数,则真值到移码的转换规则是:x移=2(n-1)+x(模2n),“”表示指数。(2)补码加减交替除法运算规则(3)补码两位乘法运算1.乘数最低位的后面增加一个

5、附加位,初值为0。在运算过程中,和乘数一起做右移。2.为防止溢出,设三个符号位,在运算过程中,最高位才表示真正的符号。3.每一步加的值取决于移位后的乘数寄存器的最低两位和附加位的值。(4)补码一位乘法运算1.乘数和被乘数都以补码表示(本程序允许数值位4位,符号位一位),运算结果也是补码。运算过程中,为防止溢出,加法器需使用两位符号位。2.乘数最低位后增加一位附加位,初值为0,以后和乘数寄存器联合移位。3.右移按补码规则进行,即符号位复制。(5)、法原码两位运算1.本程序允许进行单字节(8位二进制)原码(含一位符号位)的数值部分两位乘法运算,因此数值位只有7位。2.由于原码两位乘法运算中,可能需

6、要进行加2|X|以及减|X|的运算,所以实际上需要用补码加减运算,为了不发生溢出,在加法器中含有三个符号位;对于加正数,高位补0,而减|X|则变为加-|X|的补码;部分积右移时,如果最高位为0,则高位补0,如果最高位为1,则高位补1。3.由于乘数和被乘数的数值部分只有7位,故乘积的数值部分只有14位。(6)乘法原码一位运算1.根据乘数寄存器的最低位决定将部分积加被乘数还是加零;2.将进位位、部分积和乘数寄存器联合右移一位;3.计数器减1。如果计数器的值不为零,重复13步。(7)原码加减交替除法运算1.被除数的数值部分如果大于或等于除数的数值部分,则发生溢出。2.若余数0,上商“1”,余数左移一

7、位,减除数的绝对值,即加除数的绝对值的相反数的补码。3.若余数0,上商“0”,余数左移一位,加除数的绝对值。4.本程序要求被除数的数值部分8位,符号一位,共9位,除数的数值部分4位,符号一位,共5位。(8)补码加减法运算1.输入两个整数加数的真值(可带+、-号的十进制数,例如5,+3,-7等);2.按等于号即可得到计算结果。3.由于本例采用四位二进制数表示的补码,可表示的整数范围为-8+7,如果操作数或运算结果超出该范围,运算将出错。4.如果加数为负数,就相当于被加数减去该数的绝对值,因此相当于做减法。反过来说,做减法,实际上就是被减数的补码加上减数的相反数的补码。而求一个数的相反数的补码的规

8、则是将该数的补码连同符号位按位取反,末位加1。5.如果两个操作数没有超出补码的表示范围,而运算结果超出补码的表示范围,称为“溢出”,结果大于补码的表示范围的上限,称为“上溢”;结果小于补码的表示范围的上限,称为“下溢”。6.通常有两种判断溢出的方法,一是双符号位法,即两个操作数以及结果均用两个符号位(变形补码),如果结果的两个符号位一致,表示没有溢出,如果不一致,表示溢出。最高的一位始终表示运算结果的正确的符号:0为正(或上溢),1为负(或下溢)。第二种方法是采用单符号位运算,但根据符号位和最高有效位产生的进位是否相同而判定是否溢出:如果两进位位相同,无溢出;不同则表明溢出。当溢出时,符号位进

9、位为0,表示上溢;为1表示下溢。一 结果单步执行了原码、反码、补码运行的具体过程,更形象的了解了各种运算的执行过程与原理,是我们在计算时有了更深的理解。二 总体使我们将理论与实践相结合,分步实现的过程使我们更加清晰地了解触发器工作的每个步骤、过程。实验二一实验目的实验汇编语言的初次编译。二实验设备电脑, dos , masm三实验内容1、汇编上机步骤建立汇编语言的工作环境调用编辑程序EDIT建立汇编语言源程序(ASM文件)调用汇编程序MASM(或ASM)产生目标文件(OBJ文件)调用连接程序LINK产生执行文件(EXE文件)程序的执行调试程序DEBUG 2、字符串的显示并传送1)、代码:2)、

10、调试3、判断十个数正数,负数,零的个数1)、代码2)、调试过程四、实验心得初步接触了汇编程序语言的编译环境,第一次我们只是照着老师给的代码进行练习,跟着老师的步骤进行模仿,以后我们需要更加熟悉这个系统,要能够学以致用。虽然刚开始我们的熟练程度不够,但是只要多加练习,对指令熟练掌握,我们运用这个会变得得心应手。实验三 存储器实验1、实验目的 掌握静态随机存取存储器 RAM工作特性及数据的读写方法。二、实验内容1、实验原理 主存储器单元电路主要用于存放实验机的机器指令,如图 1-1所示,它的数据总线挂在外部数据总线 EXD0EXD7 上;它的地址总线由地址寄存器单元电路中的地址寄存器74LS273

11、(U37)给出,地址值由 8个 LED灯 LAD0LAD7显示,高电平亮,低电平灭;在手动方式下,输入数据由 8位数据开关 KD0KD7提供,并经一三态门 74LS245(U51)连至外部数据总线 EXD0EXD7,实验时将外部数据总线 EXD0EXD7用 8芯排线连到内部数据总线 BUSD0BUSD7,分时给出地址和数据。它的读信号直接接地;它的写信号和片选信号由写入方式确定。该存储器中机器指令的读写分手动和自动两种方式。手动方式下 ,写信号由 W/R 提供,片选信号由 CE提供;自动方式下,写信号由控制 CPU的 提供,片选信号由控制 CPU的 提供。图 1-1 静态 RAM 6264的电

12、路由于地址寄存器为 8位,故接入 6264的地址为 A0A7,而高 4位 A8A12接地,所以其实际使用容量为 256 字节。6264 有四个控制线:CS1 第一片选线、CS2 第二片选线、OE读线、WE写线。其功能如表 1-1所示。CS1片选线由 CE控制(对应开关 CE)、OE读线直接接地、WE写线由 W/R控制(对应开关 WE)、CS2直接接+5V。图中信号线 LDAR由开关 LDAR提供,手动方式实验时,跳线器 LDAR拨在左边,脉冲信号 T3由实验机上时序电路模块 TS3提供,实验时只需将 J22跳线器连上即可,T3的脉冲宽度可调。2、实验接线 MBUS连 BUS2; EXJ1连 B

13、US3; 跳线器 J22的 T3连 TS3; 跳线器 J16的 SP连 H23;表 1-1 静态 RAM 6264的功能表 跳线器 SWB、CE、WE、LDAR拨在左边(手动位置)。3、实验步骤 连接实验线路,仔细查线无误后接通电源。 形成时钟脉冲信号 T3,方法如下:在时序电路模块中有两个二进制开关运行控制和运行方式。将运行控制开关置为运行状态、运行方式开关置为连续状态时,按动运行启动开关,则 T3 有连续的方波信号输出,此时调节电位器 W1,用示波器观察,使 T3输出实验要求的脉冲信号;本实验中运行方式开关置为单步状态,每按动一次启动运行开关,则 T3输出一个正单脉冲,其脉冲宽度与连续方式

14、相同。 给存储器的 00地址单元中写入数据 11,具体操作步骤如下:如果要对其它地址单元写入内容,方法同上,只是输入的地址和内容不同。 读出刚才写入 00地址单元的内容,观察内容是否与写入的一致。具体操作步骤如下3、调试过程三 结果完成实验内容,按照要求验证了实验数据四 总结 在这次的实验中我们首次用到了试验箱,这要求我们学会连线,在众多接口中找到需要的接口就要求我们有足够的耐心,也更要细心。实验的内容虽然不多,但是在过程中我加深了对静态存储的理解。实验四 运算器算术逻辑运算器实验一实验目的1. 掌握算术逻辑运算器单元ALU(74LS181) 的工作原理。 2. 掌握模型机运算器的数据传送通路

15、组成原理。 3. 验证74LS181的组合功能。 4. 按给定数据,完成实验指导书中的算术逻辑运算。二、实验内容1、 实验原理运算器由两片74181以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74245)和数据总线相连,运算器的两个数据输入端分别由两个锁存器(74373)锁存,锁存器的输入连接至数据总线,数据开关INPUT DEVICE用来给出参与运算的数据,并经过一个三态门(74245)和数据总线相连,数据显示灯“BUS UNIT”已和数据总线相连,用来显示数据总线内容。 图中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4 为脉冲信号,其它均为电

16、平信号。由于实验电路中的时序信号均已连至W/R UNIT的相应时序信号引出端,因此,在进行实验时,只需将W/R UNIT的T4接至STATE UNIT的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲,而S3,S2,S1,S0,Cn,LDDR1,LDDR2,ALU-B,SW-B各电平控制信号用SWITCH UNIT中的二进制数据开关来模拟,其中Cn,ALU-B,SW-B为低电平控制有效,LDDR1,LDDR2为高电平有效。 74LS181芯片外特性 74LS181功能表 运算器数据通路图 运算器数据通路图(简化版)2、实验接线1)ALUBUS连EXJ32) ALU01连BUS13

17、) SJ2连UJ24) 跳线器J23上T4连SD5) LDDR1,LDDR2,ALUB,SWB四个跳线器拨在左边6) AR跳线器拨在左边,同时开关AR拨在“1”电平3、实验步骤(1)连接线路,仔细检查核对后接通电源。(2)用二进制数据开关KD0-KD7向DR1和DR2寄存器置入8位运算数据。 调拨8位数据开关KD0-KD7为01100101(35H) ,准备向DR1送二进制数据。 数据输出三态缓冲器门控信号ALUB=1(关闭)。 数据输入三态缓冲器门控信号 SWB=0(打开)。 数据锁存DRi控制信号LDDR1=1(打开),同时,LDDR2=0(关闭)。 打入脉冲信号T4 ,将数据65H置入D

18、R1。 重复步骤1-5,同理将数据A7H置入DR2。(3)检验DR1和DR2置入的数据是否正确。 数据输出三态缓冲器门控信号ALUB=0(打开); 数据输入三态缓冲器门控信号SWB=1(关闭); 数据锁存DRi控制信号LDDR1、LDDR2=0(关闭) 设置开关M 、开关S3、S2、S1、S0 相应值 如M=1,S3、S2、S1、S0=1111,验证8位数据 DR1;S3、S2、S1、S0=1010验证8位数据DR2。 (4)验证74LS181的算术和逻辑运算功能。三、调试过程四、调试结果验证结果为如图5、总结 通过本次实验让我对算术逻辑运算器单元ALU(74LS181)的工作原理和简单运算器

19、以及模型机运算器的数据传送通路组成原理有了些了解,让我们通过直观的方式看到了算术逻辑运算的过程。实验五 带进位控制8位算数逻辑运算一. 实验目的1、验证带进位控制的算术逻辑运算发生器的功能。2、按指定数据完成几种指定的算术运算。 二. 实验原理 带进位控制运算器的实验原理如图所示,在实验(1)的基础上增加进位控制部分,其中高位74LS181(U31)的进位CN4通过门UN4E、UN2C、UN3B进入UN5B的输入端D,其写入脉冲由T4和AR信号控制,T4是脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。A

20、R是电平控制信号(低电平有效),可用于实现带进位控制实验。从图中可以看出,AR必须为“0电平,D型触发器74LS74(UN5B)的时钟端CLK才有脉冲信号输入。才可以将本次运算的进位结果CY锁存到进位锁存器74LS74(UN5B)中。三. 实验步骤 (1)仔细查线无误后,接通电源。 (2)用二进制数码开关KDOKD7向DRl和DR2 寄存器置数,方法:关闭ALU输出三态门ALUB=1,开启输入三态门SWB=0,输入脉冲T4按手动脉冲发生按钮产生。如果选择参与操作的两个数据分别为55H、AAH,将这两个数存入DR1和DR2的具体操作步骤如下:(3)开关ALUB=0,开启输出三态门,开关SWB=1

21、,关闭输入三态门,同时让 LDDR1=0,LDDR2=0。(4)如果原来有进位,CY=1,进位灯亮,但需要清零进位标志时,具体操作方 法如下: ?S3、S2、S1、S0、M的状态置为0 0 0 0 0,AR信号置为“0”电平 (清零操作时DRl寄存器中的数应不等于FF)。 ? 按动手动脉冲发生开关,CY=0,即清进位标志。 注:进位标志指示灯CY亮时表示进位标志为“1”,有进位;进位标志指示灯CY灭时,表示进位位为“0”,无进位。 (5)验证带进位运算及进位锁存功能 这里有两种情况: 进位标志已清零,即CY=0,进位灯灭。 ? 使开关CN=0,再来进行带进位算术运算。例如步骤(2)参与运算的两

22、 个数为55H和AAH,当S3、S2、S1、S0状态为10010,此时输出数据总线显示灯上显示的数据为DRl加DR2再加初始进位位“1” (因CN=0),相加的结果应为ALU=00,并且产生进位,此时按动手动脉冲开关,则进位标志灯亮,表示有进位。 ? 使开关CN=1,当S3、S2、S1、S0状态为10010,则相加的结累ALU=FF, 并且不产生进位。 原来有进位,即CY=1,进位灯亮。此时不考虑CN的状态,再来进行带进 位算术运算。同样步骤(2)参与运算的两个数为55H和AAH,当S3、S2、S1、S0、M状态为10010,此时输出数据总线显示灯上显示的数据为DRl加DR2再加当前进位标志C

23、Y,相加的结果同样为ALU=00,并且产生进位,此时按动手动脉冲开关,则进位标志灯亮,表示有进位。 四. 调试过程5.实验总结 通过本次实验我学会了在试验中操作运算器的运行步骤,并对进位加法运算的的知识有了更深的理解。在操作中发现了也可以发现自己与同学的差距,可以向老师同学请教,加深对知识的理解,并且可以和同学合作完成任务,增进同学间友谊。实验六 移位运算一 实验目的 验证移位运算器的组成功能二、实验原理1、实验原理 移位运算实验原理如图41所示,本实验使用一片74LS299(U34)作为移位发生器,其八位输入/输出端引到8芯排座ALUO2,实验时用8芯排线连至数据总线插座BUS4。299B信

24、号由开关299B提供,控制其使能端,T4为其时钟脉冲,手动方式实验时将T4与手动脉发生器输出端SD相连,即J23跳线器上T4连SD。由信号S0 、S1、 M控制其功能状态,详细见下表41 移位运算实验原理图412、实验接线ALUO2连BUS4;EXJ1连BUS3;SJ2连UJ2;跳线器ALUB、299B、SWB拨至左侧(手动位置),且开关ALUB拨至“1”电平,299B拨至“0”电平。跳线器J23的T4连SD;3、实验步骤连接实验线路,仔细查线无误后接通电源。置数,具体步骤如下:移位,参照上图改变S0、 S1、 M、 299B 的状态,按动手动脉冲开关以产生时钟脉冲T4,观察移位结果。3、调试

25、过程4、总结 通过操作让我了解了移位运算器的基本组成,知道了计算机各存储器中移位的过程。但同时也要加强自身的动手能力,学会独立完成实验。实验七 模型机微指令分析及微程序设计一、实验目的1.?理解时序产生器的原理,了解时钟和时序信号的波形;2.?掌握微程序控制器的功能,组成知识;?3.?掌握微指令格式和各字段功能;?4.?掌握微程序的编制,写入,观察微程序的运行,学习基本指令的执行流程。二、实验原理 1.方便的编码输入功能 可以方便地输入机器指令到“主存”文本框,输入微程序到“控存”文本框,从而避免了用实验装置输入时繁复的开关设置操作; 2.强大的微指令分析功能 可以对DVCC模型机的微指令方便

26、地进行分析,以文字和图形两种形式清晰地显示当前执行的微指令的编码、该微指令的功能、该微指令在微程序流程图中的位置、执行该微指令时CPU中相关部件的微操作以及数据流的路径;同时也显示对应于地址寄存器的内容的主存单元的机器指令或数据。 3.直观、方便的微指令设计功能 可以根据需要完成的的功能非常直观、方便地设计用24位0或1组成的微指令并可立即对设计的微指令进行分析; 4.连续单步运行微程序的功能 当设计好微程序和用于测试的机器指令并将它们输入或读入到“控存”和“主存”后,将要运行的第一条微指令“粘贴”到窗体最上部的分析框后,按“单步自动运行”按钮即可自动按照所输入的微程序和机器指令测试程序的功能

27、一步一步的运行下去。在此过程中,系统将清晰地显示各条微指令的功能、执行该微指令时CPU中相关部件的微操作以及数据流的路径。5.真正的DVCC模型机微程序设计器 由于具备上述功能,所以用本软件设计微程序比用DVCC设计和调试微程序要方便得多。更重要的是用本系统设计的微程序完全可以在模型机上运行,是真实可用的微程序,而不仅仅是一种满足教学需要的演示。三、实验过程1.微程序流程图2.测试程序00H:00000001 IN R1 ;输入开关量R101H:00010001 ADD R1,0DH ;R1+0DH R102H:0000110104H:01110001 RL R105H:01100001 RR

28、C R106H:01010001 RR R107H:00100001 STA 0EH08H:00001110 ;R1OEH09H:00110000 OUT 0EH0AH:00001110 ;0EHLED0BH:01000000 JMP OOH;OOHPC0CH:000000000DH:01000000 ;自定义数据0EH:000000003.微程序设计 4.调试过程5.分析过程执行的操作是:PCB,LDAR,允许PC加1(LDPC=1),转微地址:02执行的操作是:存储器CE有效,存储器读,LDIR,P(1)测试:NA(30)或(IR7IR4),转微地址:08执行的操作是:输入开关SWB有效,

29、LDR0,转微地址:01执行的操作是:PCB,LDAR,允许PC加1(LDPC=1),转微地址:02执行的操作是:存储器CE有效,存储器读,LDIR,P(1)测试:NA(30)或(IR7IR4),转微地址:08执行的操作是:输入开关SWB有效,LDR0,转微地址:01执行的操作是:PCB,LDAR,允许PC加1(LDPC=1),转微地址:02执行的操作是:存储器CE有效,存储器读,LDIR,P(1)测试:NA(30)或(IR7IR4),转微地址:09执行的操作是:PCB,LDAR,允许PC加1(LDPC=1),转微地址:03执行的操作是:存储器CE有效,存储器读,LDAR,转微地址:04执行的

30、操作是:存储器CE有效,存储器读,LDDR2,转微地址:05执行的操作是:R0B,LDDR1,转微地址:06执行的操作是:算术:A加B,ALUB,LDR0,转微地址:01执行的操作是:PCB,LDAR,允许PC加1(LDPC=1),转微地址:02执行的操作是:存储器CE有效,存储器读,LDIR,P(1)测试:NA(30)或(IR7IR4),转微地址:0A执行的操作是:PCB,LDAR,允许PC加1(LDPC=1),转微地址:07执行的操作是:存储器CE有效,存储器读,LDAR,转微地址:0D执行的操作是:存储器CE有效,写存储器,R0B,转微地址:01执行的操作是:PCB,LDAR,允许PC加1(LDPC=1),转微地址:02执行的操作是:存储器CE有效,存储器读,LDIR,P(1)测试:NA(30)或(IR7IR4),转微地址:0B执行的操作是:PCB,LDAR,允许PC加1(LDPC=1),转微地址:0E执行的操作是:存储器CE有效,存储器读,LDAR,转微地址:0F执行的操作是:存储器CE有效,存储器读,LDDR1,转微地址:15执行的操作是:算术:A,数码管LEDB有效,写LED,ALUB,转微地址:01执行的操作是:PCB,LDAR,允许PC加1(LDPC=1),转微地址:02执行的操作是:存储器CE有效,存储器读,LDIR,P(1)测试

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1