ImageVerifierCode 换一换
格式:DOCX , 页数:34 ,大小:1.40MB ,
资源ID:23137394      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/23137394.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(电子设计自动化实验指导书10.docx)为本站会员(b****1)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

电子设计自动化实验指导书10.docx

1、电子设计自动化实验指导书10实验一 EDA环境基本操作一、实验目的l、认识并熟悉电子设计自动化的软件环境。2、了解常用EDA软件的运行方法及界面菜单窗口等内容。二实验原理电子设计已经倾向于使用基于电子计算机的自动化设计工具。三实验内容认识和实际操作各种电子设计自动化软件。四实验步骤1、进入windows操作系统,找到Electronics Workbench程序组并打开Multisim7。(1)依次点击“开始”“程序”“Electronics Workbench”“Multisim7”;或直接点击桌面上“Multisim7”图标,可打开Multisim7。(2)打开Multisim7后可以看到

2、如下界面,熟悉图中标示的各要素。(3)尝试将课本第10页图2.3原理图输入。2、进入windows操作系统,找到Labcenter Electronics程序组并打开Proteus7.2。(1)依次点击“开始”“程序”“Labcenter Electronics”“Proteus7.2”;或直接点击桌面上“Proteus7”图标,可打开Proteus7.2。(2)打开Proteus后可以看到如下界面,熟悉图中标示的各要素。3、进入windows操作系统,找到Altera程序组并打开QuartusII7.0。(1)依次点击“开始”“程序”“Altera”“QuartusII7.0”;或直接点击桌

3、面上“QuartusII7.0”图标,可打开QuartusII7.0。(2)打开QuartusII7.0后可以看到如下界面,熟悉软件的各部分。4、进入windows操作系统,找到Altium程序组并打开DXP2004。(1)依次点击“开始”“程序”“Altium”“DXP2004”;或直接点击桌面上“DXP2004”图标,可打开DXP2004。(2)打开DXP2004后可以看到如下界面,熟悉软件的各部分。5、进入windows操作系统,找到ELANIX程序组并打开SYSTEM VIEW。(1)依次点击“开始”“程序”“ELANIX”“SYSTEM VIEW”;或直接点击桌面上“SYSTEM V

4、IEW”图标,可打开SYSTEM VIEW。(2)打开SYSTEM VIEW后可以看到如下界面,熟悉图中标示的各要素。五、实验报告要求1 写出详细实验步骤;2 谈谈对各电子设计自动化软件的认识,各软件的作用。实验二 Multisim环境下的信号放大仿真实验一实验目的1、复习巩固三极管小信号放大电路。2、掌握Multisim环境中模拟电路设计的方法。3、掌握Multisim环境中模拟电路仿真方法。二实验原理利用Windows操作系统环境下的Multisim7软件平台进行电路仿真。三、实验内容设计一个三极管小信号放大电路并仿真。四、实验步骤1静态工作点的测试与调整仿真电路如图2所示,依次调节Rw的

5、百分比,记录各电压、电流表的值,对应填入表1中,并计算ICIB值。可以得出结论:(1)调节RW可改变UB电位,因而改变了三极管IB,UBE的大小。不同的工作状态,电流放大倍数ICIB不相等。(2)在三极管的放大区(RW取10,15,20时)ICIB值较大;而在截止区或饱和区ICIB值较小,且在饱和区(RW取0,1)UCE值接近0,在截止区(RW取95,100)UCE值接近直流电源的电压,甚至等于直流电源的电压。2测试电压放大倍数仿真电路如图3所示,设置信号源输入信号的幅度为US100 mV,频率为1 000 Hz。用示波器测量输入、输出波形如图4所示,此时输出端波形不失真。按表2所列测试条件测

6、试Ui,Uo的值,并计算KUoUi。仿真结果得出结论:当三极管放大电路的元件参数不改变时,电路的电压放大倍数基本不变。3静态工作点对输出波形的影响删除图3的数字万用表XMM1,XMM2,在三极管T的集电极串联数字万用表XMM1,测量IC;在三极管T的C极与地之间并联数字万用表XMM2,测量UC。设置US100 mV,f1000 Hz,调节RW分别为3,10,70,仿真波形如图5所示,分别为饱和失真、不失真放大、截止失真。把IC,UC读数填入表3。仿真结果得出结论:改变基极偏置电阻RW,静态工作点电流IC,电压UC随之变化,从而导致三极管工作区域变化。偏置电阻RW、电流IC、电压UC各值适中,三

7、极管工作在放大区;若偏置电阻RW小,电流IC过大,电压UC偏小,三极管工作在饱和区;反之,三极管工作在截止区。4测量输入电阻把图3的示波器和XMM2删除,保留XMM1,并在R1与C1之间串联一个数字万用表,测量Ii,XMM1测量Ui。调节RW为70,打开仿真开关,测得输入电压Ui63444 mV,输入电流Ii0007 mA,计算RiUiIi634440007906 k。结论:共射放大电路的输入电阻较大。 5测量输出电阻把图3的示波器和XMM1删除,同时也删除信号源,用导线短接,再删除RL和J1,用信号源代替RL。信号源设置为US1 V,f1 000 Hz,在C2的负端串联一个数字万用表,测量I

8、O,数字万用表XMM2测量UO。调节RW为70, 打开仿真开关,测得输出电压UO707 mV,输出电流IO0296 mA,计算ROUOIO7071070296239 k。结论:共射放大电路的输出电阻也较大。6测试幅频特性把图3中的示波器、XMM1及XMM2删除,将波特图仪中的In接到电路的输入端,Out接到电路的输出端,In和Out接地,打开仿真开关,用鼠标双击波特图仪,得如图6所示的幅频特性。测出上限频率fH2291MHz,下限频率fL57544 Hz。结论:共射放大电路的通频带较宽。五、实验报告要求1 写出详细实验步骤;2 画出电路原理图;3 记录实验数据及结果。实验三 PROTEUS环境

9、下单片机控制LED仿真实验一实验目的1、了解单片机工作原理;2、掌握单片机程序的编写;3、掌握PROTEUS环境下原理图的绘制及电路的仿真。二实验原理利用Windows操作系统环境下的PROTEUS软件平台进行电路设计和仿真。三、实验内容在PROTEUS软件平台上设计单片机控制的LED灯设计和仿真。四实验步骤1打开PROTEUS仿真环境,在PROTEUS仿真环境中调入单片机、电阻器、LED,按键或拨码开关等器件,对元器件参数进行相应设置,并进行连线等工作,完成LED显示控制电路原理图的绘制,如图3.1。2在PROTEUS自带的程序编辑器或第三方程序编辑器KEIL中编写控制程序,ASM代码如下:

10、org 0000hajmp mainorg 0050hmain:mov p1,p0 acall del ajmp maindel: mov r7,#03hdel2:djnz r7,del2retend3将控制程序编译为可执行代码,并在PROTEUS原理图对应单片机的设置中调入代码。4执行仿真,观察硬件连接和软件程序是否满足设计要求,若不满足,修改设计并调试,调试时使用各种虚拟仪器进行辅助。五、实验报告要求1 写出详细实验步骤;2 画出电路原理图;3 叙述你在PROTEUS环境中进行单片机仿真的心得体会。实验四 QuartusII环境下数控分频器的设计一实验目的1、 学习数控分频器的设计、分析和

11、测试方法。2、 了解和掌握分频电路实现的方法。3、 掌握EDA技术的层次化设计方法。二实验原理利用Windows操作系统下的QuartusII软件平台进行分频器设计和仿真。三、实验内容本实验要求完成的任务是在时钟信号的作用下,通过输入八位的拨动开关输入不同的数据,改变分频比,使输出端口输出不同频率的时钟信号,达到数控分频的效果。在实验中时,数字时钟选择1KHZ作为输入的时钟信号(频率过高观察不到LED的闪烁快慢),用八个拨动开关作为数据的输入,当八个拨动开关置为一个二进制数时,在输出端口输出对应频率的时钟信号,用户可以用示波器接信号输出模块观察频率的变化。也可以使输出端口接LED灯来观察频率的

12、变化。在此实验中我们把输入接入LED灯模块。四实验步骤1、 打开QUARTUSII软件,新建一个工程。2、 建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。3、 按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序(可参考示例程序),编写完VHDL程序后,保存起来。4、 对自己编写的VHDL程序进行编译,对程序的错误进行修改。编译方法如下:QUARTUSII编译器窗口包含了对设计文件处理的全过程。在QUARTUSII软件中选择ProcessingCompiler Tool菜单项,则出现QUARTUSII的编译器窗口,如图4-1所示,图中标明了全编译过程各个模块的功

13、能。图4-1 QUARTUSII编译器窗口需要说明的是在进行设计文件的综合和分析,也可以单独打开某个分析综合过程不必进行全编译界面。当完成上述窗口的设定后,点击START按钮进行设计文件的全编译。如果文件有错,在软件的下方则会提示错误的原因和位置,以便于使用者进行修改直到设计文件无错。整个编译完成,软件会提示编译成功,如图4-2所示。图4-2 全编译成功界面5、 对自己编写的VHDL程序进行仿真。1)创建一个仿真波形文件,选择QUARTUSII软件FileNew,进行新建文件对话框。如图4-3所示。选取对话框的Other File标签页,从中选取Vector Waveform File,点击O

14、K按钮,则打开了一个空的波形编辑器窗口,如图4-4所示。图4-3 新建文件对话框 图4-4 波形编辑器2)设置仿真结束时间,波形编辑器默认的仿真结束时间为1S,根据仿真需要,可以自由设置仿真的结束时间。选择QUARTUSII软件的EditEnd Time命令,弹出线路束时间对话框,在Time框办输入仿真结束时间,点击OK按钮完成设置。3)加入输入、输出端口,在波形编辑器窗口左边的端口名列表区点击鼠标右键,在弹出的右键菜单中选择Insert Node or Bus命令,在弹出的Insert Node or Bus对话框如图4-5所示界面中点击Node Finder按钮。图4-5 Insert N

15、ode or Bus对话框在出现的Node Finder界面中,如图4-6所示,在Filter列表中选择Pins:all,在Named窗口中输入“*”,点击List在Nodes Found窗口出现所有信号的名称,点击中间的按钮则Selected Nodes窗口下方出现被选择的端口名称。双击OK按钮,完成设置,回到图4-5所示的Insert Node or Bus对话框,双击OK钮,所有的输入、输出端口将会在端口名列表区内显示出来,如图4-7所示。图4-6 Node Finder对话框图4-7 在波形编辑器中加入端口4)编辑输入端口波形,即指定输入端口的逻辑电平变化,在如图4-7所示的波形编辑窗

16、口中,选择要输入波形的输入端口如A端口,在端口名显示区左边的波形编辑器工具栏中有要输入的各种波形,其按钮说明如图4-8所示。根据仿真的需要输入波形。完成后如图4-9所示。最后选择软件的FileSave进行保存。图4-8 波形编辑器工具栏图4-9 编辑输入端口波形5)指定仿真器设置,在仿真过程中有时序仿真和功能仿真之分,在这里介绍功能仿真。在QUARTUSII软件中选择ToolSimulator Tool命令,打开仿真器工具窗口,如图4-10所示。按图4-10上的提示,首先产生功能仿真网表文件,点击产生功能仿真网表的按钮Generate Functional Simulation Netlist

17、,产生功能仿真网表,然后点击开始仿真的START按钮开始进行仿真,直到仿真进度条为100%完成仿真。点击仿真报告窗口按钮Report,观察仿真波形。如图4-11所示。图4-10 仿真器工具窗口图4-11 仿真波形6、 编译仿真无误后,依照拨动开关、LED与FPGA的管脚连接表进行管脚分配。表4-1是示例程序的管脚分配表。分配完成后,再进行全编译一次,以使管脚分配生效。表4-1 端口管脚分配表端口名使用模块信号对应FPGA管脚说 明INCLK数字信号源N2时钟为1KHZDATA0拨动开关K1AC22分频比数据DATA 1拨动开关K2AD23DATA 2拨动开关K3AB8DATA 3拨动开关K4A

18、A9DATA 4拨动开关K5AB12DATA 5拨动开关K6AA11DATA 6拨动开关K7AA10DATA 7拨动开关K8AB10FOUTLED灯LED1AA17分频输出在前面选择好一个合适的目标器件(在这个实验中选择为EP2C35F672C8),完成设计的分析综合过程,得到工程的数据文件以后,需要对设计中的输入、输出引脚指定到具体的器件管脚号码,指定管脚号码称为管脚分配或管脚锁定。这里介绍两种方法进行管脚锁定。1)点击Assignments菜单下面的Assignment Editor,进入到引脚分配窗口。如图4-12所示。图4-12 进入引脚分配界面首先将要分配管脚的信号放置在To下方。双

19、击To下方的New,如图4-12所示则会出现如图4-13所示界面。图4-13 信号选择对话框选择Node Finder进入如图4-14所示的Node Finder对话框界面。按图4-14中样例设置参数。在Filter窗口选择Pins:all,在Named窗口中输入“*”,点击List在Nodes Found窗口出现所有信号的名称,点击中间的 按钮则Selected Nodes窗口下方出现被选择的端口名称。双击OK按钮,完成设置。进入管脚分配窗口,如图4-15所示。图4-14 Node Finder对话框在图4-15中以锁定端口A的管脚为例,其它端口的管脚锁定与其基本一致。选择端口A的对应Ass

20、ignment Name 待其变为蓝色,双击之,出现下拉菜单选取如图4-15所示的Location(Accepts wildcards/groups)选项。选择端口A的对应Value栏, 待其变为蓝色,依照表4-1的硬件与FPGA的管脚连接表,输入对应的管脚名AB8,按回车键,软件将自动将其改为PIN_AB8,同时蓝色选择条会自动跳转到Value栏的下一行,这表明软件已经将输入端口A分配到FPGA的AB8引脚上,如图4-16所示。图4-15 管脚分配图4-16 给A端口进行管脚分配用同样的方法,依照表4-1所示的硬件与FPGA的管脚连接表,对其它端口进行管脚分配,如图4-17所示。2)点击As

21、signments菜单下面的Pin Planner(也可直接点击工具栏上的引脚分配按钮)出现如图4-18所示的所选目标芯片的管脚分布图。图4-17所有引脚全部分配结束后的软件窗口图4-18 目标芯片的管脚分布图与上面的方法相同,依照表4-1所示的硬件与FPGA的管脚连接表,如端口A对应的管脚为AB8,则双击AB8管脚出现如图4-19所示对话框。在图4-19对话框中的Node Name框中输入对应的端口名A或者通过下拉菜单选取对应的端口名称A,点击OK按钮,完成对端口A的管脚分配。用相同的方法,依照表4-1对其它端口进行管脚分配,管脚分配完后,如下图4-20所示。图4-19 管脚分配对话框图4-

22、20所有引脚全部分配结束后的软件窗口表4-1端口管脚分配表在图4-20中,棕色标出的管脚为已被分配锁定的管脚。值得注意的是,当管脚分配完之后一定要进行再进行一次全编译,以使分配的管脚有效。7、 用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致。 完成对器件的加载有两种形式,一种是对目标器件进行加载文件,一种是对目标器件的配置芯片进行加载。这里我们介绍对目标器件EP2C35F672C8进行加载的方法。1)使用下载电缆将PC机与实验系统连接起来。2)选择QUARTUSII软件的ToolProgrammer命令,进行编程器窗口,如图4-21所示,如果没

23、有设置编程硬件,则编程硬件类型为No Hardware,需要对编程硬件进行设置。点击Hardware Setup编程硬件设置按钮,进行如图4-22所示的编程硬件设置对话框。图4-21 编程器窗口图4-22 编程器硬件设置对话框3)点击Add Hardware按钮,出现Add Hardware对话框,如图4-23所示。图4-23 编程硬件选择对话框4)在Add Hardware对话框中,从Hardware type列表中选择所需要硬件类型,如果是USB接口的请参照用户使用手册中的USB电缆的安装与使用,如果使用的是并口下载线则选取如图4-23所示的硬件类型,点击OK按钮,完成对硬件类型的设置。回

24、到编程器硬件设置窗口, 点击Close按钮退出设置。则在编程器对话框中的编程硬件类型会出现刚才选取的编程器硬件。5)如果软件已运行一个工程,则在打开编程器的时候,编程器窗口会自动出现这个工程文件要加载到目标器件的文件,如果要加载其它文件可以从其它地方进行添加更改。选好加载文件后,再点选Progam/Configure,编程模式选取JTAG模式,点击STRAT进行文件加载,直到加载进度变为100%,文件成功加载。五、实验报告要求1 写出详细实验步骤;2 描述分频器的原理。附: 示例程序library ieee;use ieee.std_logic_1164.all;use ieee.std_lo

25、gic_arith.all;use ieee.std_logic_unsigned.all;-entity exp4 is port( inclk: in std_logic; -定义时钟信号 data: in std_logic_vector(7 downto 0); -输入控制的数据 fout : out std_logic -分频输出 ); end exp4;-architecture behave of exp4 issignal full :std_logic; begin process(inclk) variable cdount1 : std_logic_vector(7 do

26、wnto 0); begin if inclkevent and inclk=1 then-检测时钟上升沿 if cdount1=11111111 then cdount1:=data; full=1; else cdount1:=cdount1+1; full=0; end if; end if; end process;process(full) variable cdount2 : std_logic; begin if fullevent and full=1 then-检测时钟上升沿 cdount2:= not cdount2; if cdount2=1 then fout=1; else foutAnnotate,如图5-4,弹出流水号自动设置对话框,完成流水号设置,如图5-5所示。图5-4元器件流水号设置图5-5 流水号自动设置对话框(6)设置元器件的属性,如图5-6所示。(7)执行菜单命令PlaceWire,绘制元器件间的电气连接。电路图最终效果图就如图 5-1 所示。图5-6 设置元器件的属性五、实验报告要求1 写出详细实验步骤;2 绘制电路原理图;3 描述从protel DXP软件环境中如何调出元器件,如何利用prote

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1