ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:593.94KB ,
资源ID:23098280      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/23098280.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(可控计数器的设计.docx)为本站会员(b****1)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

可控计数器的设计.docx

1、可控计数器的设计可控计数器的设计可编程器件课程设计报告课题: 可控计数器的设计 班级 学号 学生姓名 专业 电子科学与技术 系别 电子信息工程系 指导老师 淮阴工学院电子与电气工程学院2014年11月可控计数器的设计一、设计目的可编程器件课程设计是一项重要的实践性教育环节,是学生在校期间必须接受的一项工程训练。在课程设计过程中,在教师指导下,运用工程的方法,通过一个简单课题的设计练习,可是学生通过综合的系统设计,熟悉应用系统的是设计过程、设计要求、完成的工作内容和具体的设计方法,了解必须提交的各项工程文件,也达到巩固、充实和综合运用所学知识解决实际问题的目的。通过课程设计,应能加强学生如下能力

2、的培养:(1)独立工作能力和创造力;(2)综合运用专业及基础知识,解决实际工程技术问题的能力;(3)查阅图书资料、产品手册和各种工具书的能力;(4)工程绘图的能力;(5)编写技术报告和编制技术资料的能力。二、设计要求1 1、设计一个五进制的计数器,由两个控制键SEL控制不同的计数方式2 2、当SEL=00时,按0、1、2、3、4、0、1、2,3、4顺序计数3 3、当SEL=01时,按0、2、4、6、8、0、2、4、6、8顺序计数 4 4、当SEL=10时,按1、3、5、7、9、1、3、5、7、9顺序计数5 5、当SEL=11时,按5、4、3、2、1、5、4、3、2、1顺序计数6 6、由数码管分

3、别译码显示控制信号和计数状态,分别用3 位数码管动态显示7 7、给出VHDL语言的源程序三、一般设计要求(1)独立完成设计任务;(2)绘制系统硬件总框图;(3)绘制系统原理电路图;(4)制定编写设计方案,编制软件框图,完成详细完整的程序清单和注释;(5)制定编写调试方案,编写用户操作使用说明书;(6)写出设计工作小结。对在完成以上文件过程所进行的有关步骤如设计思想、指标论证、方案确定、参数计算、元器件选择、原理分析等作出说明,并对所完成得设计作出评价,对自己整个设计工作中经验教训,总结收获和今后研修方向。四、设计的具体实现1、实验原理计数器是一种多功能的电子测量仪器。它利用电子学的方法测出一定

4、时间内输入的脉冲数目,并将结果以数字形式显示出来。可控五进制计数器是每五个脉冲信号向前进一位,且当控制端不同时,产生的进位输出不同。再利用数码管显示相应的数值。首先利用进程p1来实现4种模式的计数功能,由信号sel来控制选择具体是哪种模式;再利用进程p2来扫描3个数码管,而其中1个用来显示计数值,2个用来显示控制信号的值;最后通过进程p3来对数码管进行驱动译码,将相应的五进制数值利用数码管体现出来。图1.实验原理图2、模拟框图 clk cnt sel y smx clk duan图2.模拟结构框图3、可控计数器设计流程 图3.设计流程4、各个模块(1)计数器单元模块 SHENGHAO图4.计数

5、器单元模块CLK是计时时钟,也是扫描时钟,SEL计数模式控制信号,Y接收cnt的计数值。它利用电子学的方法测出一定时间内输入的脉冲数目,并将结果以数字形式显示出来。可控五进制计数器是每五个脉冲信号向前进一位,且当控制端不同时,产生的进位输出不同。(2)扫描单元 SHEJI3 图5.扫描单元模块CLK是计时时钟,也是扫描时钟,SEL计数模式控制信号,DUAN代表3个数码管。利用扫描脉冲扫描3个数码管,而其中1个用来显示计数值,2个用来显示控制信号的值。(3)数码管译码 SHEJI3CLK SM60CLK DUAN50SEL图6.数码管译码模块CLK是计时时钟,也是扫描时钟,SEL计数模式控制信号

6、,DUAN代表3个数码管,SM是数码管的七段是数码管数字七段,它主要用来对数码管进行驱动译码,将相应的五进制数值利用数码管体现出来。5、管脚分配五、系统的源程序(VHDL)1.计数环节library ieee; use use entity LQ is port(clk,ck:in std_logic;-clk是计数时钟,ck是扫描时钟 sel:in std_logic_vector(1 downto 0);-计数模式控制信号 sm:out std_logic_vector(6 downto 0);-数码管的七段 duan:out std_logic_vector(2 downto 0);-3

7、个数码管 end LQ; architecture art of LQ is signal cnt:std_logic_vector(3 downto 0);-信号cnt用来计数 signal temp:integer range 0 to 2;signal x: std_logic_vector(3 downto 0);-数码管动态显示的值 signal y: std_logic_vector(3 downto 0);-接收cnt的计数值 begin p1:process(clk)-进程p1主要用来实现4种模式的计数功能,由信号sel来控制选择具体是哪种模式 begin if(clkeven

8、t and clk=1)then case sel is when 00=if(cnt=0100)then cnt=0000; else cntif(cnt=1000 or cnt=0001 or cnt=0011 or cnt=0101 or cnt=0111)then cnt=0000; else cntif(cnt=1001 or cnt=0000 or cnt=0010 or cnt=0100 or cnt=0110 or cnt=1000)then cnt=0001; else cntif(cnt0101 or cnt=0001 or cnt=0000)then cnt=0101;

9、else cntcnt=1111;end case; yduanxxxxduan=010or100; x=00&sel; end case; tempsmsmsmsmsmsmsmsmsmsmsm=0000000; end case; end process p3; end art;六、程序的调试分析与仿真1、SEL=002、SEL=013、SEL=104、SEL=11七、总结为期两周的课程设计结束了,从程序的编写,到编译调试和仿真,最后得出实验结果,在这一过程中,我学到了许多,可以说是收获颇丰。首先,我便发现我学习中的一大陋习,那就是基础知识不够牢固,而原因就是我上课的时候不太认真听讲,所以在刚开始编写代码的时候,我一头雾水,根本不知该从何处下手。还好我通过上网查找资料,去图书馆翻阅书籍,还有询问指导老师不懂的地方,我渐渐的补充了基础知识,也对本次实习内容有所了解,并且对我们小组的实验课题-可控计数器的设计有了明确认识。于是我和同组的一些成员一起研究,翻阅相关书记查找资料,找寻相似的例题,通过对比和排查,初步仿照着设计出了代码,然后再通过检查核实,最终编写出满足设计要求的代码。接着我们就带着编写好的代码来到了实验室,对它进行编译,八、参考文献

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1