ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:22.20KB ,
资源ID:22986980      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/22986980.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(课程标准泰州机电高等职业技术学校.docx)为本站会员(b****2)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

课程标准泰州机电高等职业技术学校.docx

1、课程标准泰州机电高等职业技术学校 EDA技术应用课程标准一、概述(一)、课程性质:EDA技术是以计算机为工作平台、以硬件描述语言(VHDL/Verilog HDL)为设计语言、以可编程器件(CPLD / FPGA)为实验载体、以ASIC / SOC芯片为目标器件、进行必要的元件建模和系统仿真的电子产品自动化设计过程。它是一种高级、快速、有效的电子设计自动化工具。掌握EDA技术是培养高素质高技能电子产品设计人才的需要,是现代集成电路及电子整机系统设计科技创新和产业发展的关键技术。掌握EDA技术,是走向市场、走向社会、走向国际的基本技能。开展EDA技术与应用教学,适应电子系统日趋数字化、复杂化和大

2、规模集成化发展的需要,满足社会对高技能人才日益增长的需求,为创新性人才的培养打下良好基础己迫在眉睫。根据上述设想决定开设EDA技术课程,并定名为EDA技术应用。(二)课程基本理念: 本课程是应用电子技术专业的专业课,要求学生通过本课程的学习和实验,初步掌握常用EDA工具的使用方法、FPGA的开发技术以及VHDL语言的编程方法。能比较熟练地使用QuartusII等常用EDA软件对FPGA和CPLD作一些简单电路系统的设计,同时能较好地使用VHDL语言设计简单的逻辑电路和逻辑系统,学会行为仿真、时序仿真和硬件测试技术,为现代EDA工程技术的进一步学习,ASIC器件设计以及超大规模集成电路设计奠定基

3、础。在EDA技术应用专业教室进行专业课程的学习,学习可借助专业教室完善的硬件功能,直接完主要的实训任务,真正做到“学以致用”,从而完全克服了传统教学模式中专业课教学与实训在空间和时间上脱节的弊端。(三)、课程设计思路:本课程通过EDA技术应用专业教室的环境,为学生提供“用理论及时指导实践,用实践验证理论”良好机会,有利于提高教学效果;同时由于专业教室在设置时就充分考虑了行业的主流技术以及岗位能力的需求,学生在专业教室学习,即可直接获取综合职业技能,利于实现以就业为导向的培养目标。本课程的教学中注重理论教学与实践教学相结合,将教学内容的知识点分为了解、理解、掌握、熟悉几个层次,将技能和能力实践分

4、为学会、懂得、熟练几个层次,以实例讲解基本理论,加强现场技能培训、重点培养学生的掌握综合电路设计能力。突出新技术、新知识、新技能、新产品的学习。重点分析具有代表性的典型应用,将传授知识和技能贯穿与实践指导中,避免成为单一的讲授或单一的操作指导。通过安排典型电路实例的安装制作,综合地应用理论知识学习和对实际电路的认识,达到对常用EDA工具的使用与VHDL语言的编程方法的全面认识和把握。本课程标准的总体设计思路:变三段式课程体系为任务引领型课程体系,打破传统的文化基础课、专业基础课、专业课的三段式课程设置模式,紧紧围绕完成工作任务的需要来选择课程内容;变知识学科本位为职业能力本位,打破传统的以“了

5、解”、“掌握” 为特征设定的学科型课程目标,从“任务与职业能力”分析出发,设定职业能力培养目标;变书本知识的传授为动手能力的培养,打破在传统的知识传授方式,以“工作项目”为主线,创设工作情景,结合职业技能证书考证,培养学生的实践动手能力。课程标准以应用电子技术专业学生的就业为导向,根据行业专家对应用电子专业所涵盖的岗位群进行的任务和职业能力分析,以应用电子技术主线,以本专业应共同具备的岗位职业能力为依据,遵循学生认知规律,紧密结合职业资格证书中的中相关考核项目,确定本课程的工作模块和课程内容。为了充分体现任务引领、实践导向课程思想,将本课程的教学活动分解设计成若干项目或工作情景,以项目为单位组

6、织教学,以典型设备为载体,引出相关专业理论知识,使学生在实训过程中加深对专业知识、技能的理解和应用,培养学生的综合职业能力,满足学生职业生涯发展的需要。 本课程建议总学时为88。课时数以课程内容的重要性和容量来确定。各部分的课时分配如表所示:序号内容参考学时讲授习题课实验实训合计一第1章 概述22二第2章 EDA设计流程及其工具224三第3章 CPLD/FPGA结构与应用224四第4章: VHDL设计初步448五第5章: QuartusII应用向导246六第6章: VHDL设计进阶448七第7章: 宏功能模块与IP使用224八第8章: 有限状态机设计6612九第9章: VHDL结构要素448十

7、第10章: VHDL 基本语句6612十一第11章:设计优化和设计方法448十二第12章:VHDL仿真448十三总复习44合计464288二、课程目标通过任务引领型的项目活动,使学生掌握电子EDA设计技术的基本知识和基本技能,具有逻辑思维能力、学习新技术的能力。能解决生产与应用中的实际问题,完成本专业相关岗位的工作任务。通过对本课程的学习,学生能较好地掌握全新的硬件电子系统的设计技术,更深刻地了解计算机软件语言与硬件语言实现的现代电子系统设计能力。通过理论学习与实践设计锻炼的紧密结合,提高理论与工程实际相结合的能力,为未来进一步的学习和工作实践奠定良好基础。 三、内容标准(一)概论介绍现代ED

8、A技术,VHDL概况,介绍自顶向下的系统设计方法以及FPGA和CPLD的基本技术,要求对现代EDA技术及实现工具的使用方法和发展情况有一初步了解。(二)EDA设计流程及工具首先介绍基于EDA软件的FPGA/CPLD开发流程和ASIC设计流程,然后分别介绍与这些设计流程中各环节密切相关的EDA工具软件,最后简述QuartusII的基本情况和IP。(三)FPGA/CPLD结构与应用主要介绍几类常用的大规模可编程逻辑器件的结构和工作原理。对CPLD的乘积项原理和FPGA的查找表原理分别进行剖析。最后介绍相关的编程下载和测试技术。(四)VHDL设计初步通过数个简单、完整而典型的VHDL设计示例,使学生

9、初步了解用VHDL表达和设计电路的方法,并对由此而引出的VHDL语言现象和语句规则能逐步趋向系统的了解。(五)QuartusII应用向导通过实例,详细介绍基于QuartusII的VHDL文本输入设计流程,包括设计输入、综合、适配、仿真测试和编程下载等方法,以及QuartusII包含的一些有用的测试手段,最后介绍原理图输入设计方法。(六)VHDL设计进阶介绍一些新的实例及相关的VHDL语法知识,使学生进一步了解VHDL语言现象和语句规则的特点,以及应用VHDL表达与设计电路的方法。(七)宏功能模块与IP应用Altera提供了可参数化的宏功能模块和LPM函数,并基于Altera器件的结构做了优化设

10、计,使得设计的效率和可靠性得到了很大的提高。可以根据实际电路的设计需要,选择LPM库中的适当模块,并为其设定适当的参数,满足设计的需要。本章通过一些示例介绍LPM宏功能模块与IP核的使用方法。(八)状态机设计介绍使用VHDL设计有限状态机一般性程序结构;介绍状态机的实用程序设计、状态编码方法以及非法状态排除技术。(九)VHDL程序结构与规则介绍VHDL的基本程序结构,包括实体、结构体、进程、库和子程序等主要语句结构,要求掌握VHDL可综合程序设计的基本程序结构。重点了解进程语句结构及其运行特点。(十)VHDL语句与VHDL仿真简要介绍VHDL编程的3种描述风格;介绍VHDL仿真方法、目的和延时

11、模型、简介VHDL程序综合概念与可综合的要求,介绍时序电路和组合电路的设计要点和方法。(十一)设计优化和时序分析分析资源优化、速度优化的常用方法,介绍QuartusII中优化设置与优化设计方法、以及时序分析方法。(十二)实验由于本课程是一实践性很强,且软硬件设计密切结合的课程,所以安排了较多的实验内容, 实验总学时为30,实验1为基础实验,其余均为综合、设计性实验。实验1:基于VHDL的简单组合与时序电路设计实验2:数控分频器设计实验3:正弦波发生器及频率计设计实验4:序列检测器设计实验5:乘法器设计及LSFR设计实验6:硬件电子琴设计实验7:电子琴控制系统设计(续)实验8:液体混合装置的自动

12、控制系统设计实验9:水塔水位自动控制系统设计实验10:数字逻辑分析仪设计四、实施建议(一)、 教材编写建议1、必须依据本课程标准编写教材,教材应充分体现任务引领、实践导向课程的设计思想。2、教材应将本专业职业活动,分解成若干典型的工作项目,按完成工作项目的需要和工作项目要求,结合职业技能证书考证组织教材内容。并通过对设计典型电路的分析,引入必须的理论知识,还要增加实践实操内容,强调理论在实践过程中的应用。3、教材应图文并茂,提高学生的学习兴趣,通过与不同内容相对应的电路模块的测量、操作、维修演示,加深学生对典型的音响电路设备的认识。教材表达必须精炼、准确、科学。4、教材内容应体现先进性、通用性

13、、实用性,要将本专业新技术、新工艺、新设备及时地纳入教材,使教材更贴近本专业的发展和实际需要。5、教材中的活动设计的内容要具体,并具有可操作性。(二)、评价建议1)突破传统的VHDL语言教学模式和流程,采用全新的教学理念和教学方式,将语言与EDA工程技术有机结合,以实现良好的教学效果,同时大大缩短了授课时数。2)教学中以电子线路设计为基点,从实例的介绍中引出VHDL语句语法内容。在典型示例的说明中,自然地给出完整的VHDL描述,同时给出其综合后的RTL电路图及表现该电路系统功能的时序波形图。通过一些简单、直观、典型的实例,将VHDL中最核心、最基本的内容解释清楚,使学生在很短的时间内就能有效地

14、掌握VHDL的主干内容,并付诸设计实践。而传统的方法大多数是按部就班地讲解所有的VHDL语句,包括许多很少用到的非RTL语句,影响了效率。3)根据EDA技术课程实践性强的特点,设计由浅入深、自主创新等开放类实验项目。各实验除给出详细的实验目的、原理、思考题和实验报告要求外,每个实验还包括多个实验项目(层次),即:第一实验层次是与该课内容相关的验证性实验,提供了详细的设计程序和实验方法,使学生能有章可循,快速入门;第二实验层次是在上一实验基础上提出一些要求,让学生能做进一步的自主发挥;第三、第四实验层次属于自主设计或创新性质的实验,在其中给出实验的基本原理,实验功能,技术指标要求和设计提示等4)

15、综合相关因素,优化教学效果。理论教学与创新实验相结合、创新能力培养与学生科技活动相结合、完成教学任务与适应人才市场专业需求相结合、和校外技术培训与经验交流相结合。教师和学生,教学互动,教学相涨,在不断提高教学水平,优化实验方法的同时,有效克服教学与实验中出现的各种难题,不断将教学效果推向更好的新的高度。5)提高授课教师的理论水平和工程实践能力,通过大量的科研活动,包括鼓励教师积极参与各类横向和纵向科研项目,将教学实验和科研相结合,提高自身教学和科研能力。1、在教学过程中,应立足于加强学生实际操作能力的培养,采用项目教学,以工作任务引领提高学生学习兴趣,激发学生的成就动机。2、本课程教学的关键是

16、现场计算机上机教学,应选用网络计算机教室为载体,在教学过程中,教师示范和学生上机操作训练互动,学生提问与教师解答、指导有机结合,让学生在“教”与“学”过程中,逐步加深对EDA的认识。3、在教学过程中,要创设工作情景,同时应加大实践实操的容量,加强技能培训、重点培养学生的掌握掌握常用EDA工具的使用方法、FPGA的开发技术以及VHDL语言的编程方法。通过安排典型电路实例的实际,综合地应用理论知识学习和对实际电路的认识,达到对电子EDA设计技术的全面的认识和把握,提高学生的岗位适应能力。4、在教学过程中应用挂图、多媒体、投影和演示等教学资源辅助教学,帮助学生对各种典型电路理解。5、在教学过程中,要

17、重视本专业领域新技术、新工艺、新设备发展趋势,贴近生产现场。为学生提供职业生涯发展的空间,努力培养学生参与社会实践的创新精神和职业能力。6、教学过程中教师应积极引导学生提升职业素养,提高职业道德。(三)、教学评价建议EDA技术的作业和考试主要考查学生以下两方面的能力和水平:一是EDA技术基础知识的掌握,包括电路、HDL语言等; 二是学生运用EDA工具解决实际工程问题的能力和水平。考试成绩主要由书面考试、平时考核、实验考核、上机考试、课外实践等几个方面的成绩综合而成,加大平时考核成绩比例,学生参加电子设计竞赛取得的成绩,可以奖励一定的学分。1、关注评价的多元性,结合课堂提问、学生作业、平时测验、

18、实验实训、技能竞赛及考试情况,综合评价学生成绩。2、应注重学生动手能力和实践中分析问题、解决问题能力的考核,对在学习和应用上有创新的学生应予特别鼓励,全面综合评价学生能力。3、教材应图文并茂,提高学生的学习兴趣,通过与不同内容相对应的电路模块的测量、操作、维修演示,加深学生对典型的EDA技术的认识。教材表达必须精炼、准确、科学。4、教材内容应体现先进性、通用性、实用性,要将本专业新技术、新工艺、新设备及时地纳入教材,使教材更贴近本专业的发展和实际需要。5、教材中的活动设计的内容要具体,并具有可操作性。(四)、课程资源的开发与利用建议1、注重实验实训指导书和实验实训教材的开发和应用。2、注重应用

19、EDA教学软件、幻灯片、投影片、录像带、视听光盘、教学仪器、多媒体仿真软件等常用课程资源和现代化教学资源的开发和利用,这些资源有利于创设形象生动的工作情景,激发学生的学习兴趣,促进学生对知识的理解和掌握。同时,建议加强课程资源的开发,建立多媒体课程资源的数据库,努力实现跨学校多媒体资源的共享,以提高课程资源利用效率。3、积极开发和利用网络课程资源,充分利用诸如电子书籍、电子期刊、数据库、数字图书馆、教育网站和电子论坛等网上信息资源,使教学从单一媒体向多种媒体转变;教学活动从信息的单向传递向双向交换转变;学生单独学习向合作学习转变。同时应积极创造条件搭建远程教学平台,扩大课程资源的交互空间。4、

20、产学合作开发实验实训课程资源,充分利用本行业典型的生产企业的资源,进行产学合作,建立实习实训基地,实践“工学”交替,满足学生的实习实训,同时为学生的就业创造机会。建立本专业开放实训中心,使之具备现场教学、实验实训、职业技能证书考证的功能,实现教学与实训合一、教学与培训合一、教学与考证合一,满足学生综合职业能力培养的要求。考核办法:考核采用百分制,期末考试成绩占40%(包括理论和实践两部分),平时实验操作占40%,平时成绩占20%。五、其他说明1、本课程标准适用于应用电子技术专业。2、前期开设课程:“电子线路CAD”、“电子线路分析”、“数字电路”等课程是“EDA技术应用”课程的先期开设的课程。

21、六、教材与参考书教材: EDA技术实用教程(第三版), 潘松、黄继业编著,科学出版社,2006。 参考书: CPLD/FPGA的开发与应用,徐志军、徐光辉编著,电子工业出版社,2002;电子设计自动化(EDA)教程,王锁萍,电子科技大学出版社,2000;数字系统设计与PLD应用技术,蒋璇、臧春华编著,电子工业出版社,2001;SOPC技术实用教程,潘松、黄继业、曾毓编著,清华大学出版社,2005;数字系统设计与Verilog HDL,王金明、杨吉斌编著,电子工业出版社,2002; EDA技术与数字系统设计 尹常永主编 西安电子科技大学出版社,2004 EDA技术应用课程标准(应用电子技术专业试用)泰州机电高等职业技术学校

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1