ImageVerifierCode 换一换
格式:DOCX , 页数:9 ,大小:141.17KB ,
资源ID:22687750      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/22687750.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(可编程实验报告2Word下载.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

可编程实验报告2Word下载.docx

1、5.源程序和下载结果6.实验结果分析7.实验收获和体验 (1) 彩灯控制器要有多种花型变化, (2) 多种花型可以自动变换,循环往复, (3) 彩灯变化快慢的节拍可以选择, (4) 具有清零开关。目的:进一步熟悉EDA的VHDL的程序设计方法,熟练所学课程一些基本常用的软件,如此次的Quartus II,实操该软件的基本操作,学习掌握VHDL的描述方法,进一步掌握应用EDA常用工具进行组合逻辑电路的设计、分析、仿真等技巧。同时使自己能够编码程序,理解并学会。2.实验设计方案及原理 设计一个具有4种节奏看快慢,多种花型循环变化的彩灯控制器。首先通过多个进程把50mhz输入脉冲经过多级分频,最后分

2、成四个不同频率的脉冲,同时通过数据选择器的俩个开关来控制其对应的脉冲作为输入。由此,整个系统需要3个输入信号:系统时钟信号clk,系统清零信号rst,和控制彩灯节奏快慢的选择开关speed.7个输出信号row(3.0)控制彩灯的组选和e,y,g控制红,绿,黄的亮灭用于模仿彩灯。 主芯片EPM240T100C5,2个开关、12个LED灯。 首先对输入的脉冲clk进行多级分频,让有不同的频率,然后再通过数据选择器控制其相对应的脉冲,再把脉冲输入编写好的程序中(定义在程序内部中,及在内部进行),最后测试,下载,再通过下载板看到结果。该实验编好的程序如下:library ieee;use ieee.s

3、td_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity dy isport(b,clk:in std_logic;s:in std_logic_vector(1 downto 0);a:out std_logic_vector(3 downto 0);r,y,g:out std_logic);end;architecture du of dy issignal p:integer range 0 to 26;signal clk_1,clk_2,clk_3,clk_4:std_l

4、ogic;signal w:beginprocess(clk)variable cnt1:integer range 0 to 500;variable cnt2:integer range 0 to 100;if clkevent and clk=1 thenif cnt1=500 thencnt1:=0;if cnt2=100 thencnt2:clk_1=not clk_1;else=cnt2+1;end if;=cnt1+1;end process;process(clk_1)integer range 0 to 25;if clk_1event and clk_1=if cnt1=2

5、5 thenclk_2=not clk_2;process(clk_2)integer range 0 to 5;if clk_2event and clk_2=if cnt1=5 thenclk_3=not clk_3;process(clk_3)integer range 0 to 2;if clk_3event and clk_3=if cnt1=3 thenclk_4w=clk_1;01=clk_2;10=clk_3;11=clk_4;end case;process(w)if wevent and w=if p=26 thenp0111when 1=1011when 2=1101wh

6、en 3=1110when 4=when 5=when 6=when 7=when 8=when 9=when 10=when 11=when 12=when 13=when 14=when 15=when 16=0110when 17=when 18=when 19=1001when 20=when 21=when 22=when 23=when 24=when 25=when 26=a=a_1;r=r1;y=y1;g=g1;end du;测试结果引脚分配 6.实验结果分析 实验的开始,总是有点小问题,因为编写的程序有一点小小的不同,该程序就无法执行,所以总需要经过多次的修改,往复地测试,直到成功。实验中通过俩开关的不同变换使其相应的时钟有不同的频率,从而在下载板上看到快慢不同的花型。当按下清零开关时,灯全部熄灭。能够让我们更加熟悉的对其软件的操作外,减少一些细节的出错率。最重要的是通过此次实验能让我们知道编写程序的基本语法与一些基本注意的地方。同时能够从多次失败的结果总结出经验,编程的时候应该细心谨慎,通过自己动手,可以有自己理清思路,一点点地提高自己的程序编写能力。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1