ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:19.20KB ,
资源ID:22666547      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/22666547.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA数字频率计Word文件下载.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA数字频率计Word文件下载.docx

1、频率信号易于传输,抗干扰性强,可以获得较好的测量精度。因此,频率检测是电子测量领域最基本的测量之一。本文的数字频率计是按照计算每秒内待测信号的脉冲个数的基本原理来设计,此时取闸门时间为1秒。数字频率计的关键组成部分包括一个测频控制信号发生器、一个计数器和一个锁存器,另外包含信号整形电路、脉冲发生器、译码驱动电路和显示电路,其原理框图如图1所示。数码显示译码驱动电路信号整形电路锁存器计数器 脉冲发生器测频控制信号发生器图1 数字频率计原理框图工作过程:系统正常工作时,脉冲信号发生器输入1Hz的标准信号,经过测频控制信号发生器的处理,2分频后即可产生一个脉宽为1秒的时钟信号,以此作为计数闸门信号。

2、测量信号时,将被测信号通过信号整形电路,产生同频率的矩形波,输入计数器作为时钟。当计数闸门信号高电平有效时,计数器开始计数,并将计数结果送入锁存器中。设置锁存器的好处是显示的数据稳定,不会由于周期性的清零信号而不断闪烁。最后将锁存的数值由外部的七段译码器译码并在数码管上显示。2.1.1频率测量模块 (1) 直接测频法:把被测频率信号经脉冲整形电路处理后加到闸门的一个输入端,只有在闸门开通时间T(以秒计)内,被计数的脉冲送到十进制计数器进行计数。 (2) 组合测频法:是指在高频时采用直接测频法,低频时采用直接测量周期法测信号的周期,然后换算成频率。课程设计说明书 NO.3(3) 倍频法:是指把频

3、率测量范围分成多个频段,使用倍频技术,根据频段设置倍频系数,将经整形的低频信号进行倍频后再进行测量,对高频段则直接进行测量。倍频法较难实现。2.2 VHDL的设计实现2.2.1 VHDL具有支持自顶向下(Top to Down)和基于库(LibraryBased)的设计特点,因此设计者可以不必考虑具体的器件工艺结构,从系统级开始,在顶层进行系统的结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级。进行验证, 最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去。设计频率计的核心是设计一个测频控制信号发生器,产生测量频率的控制时序

4、。这里控制信号clk取为1Hz,2分频后就是一个脉宽为1秒的时钟信号 testen,用来作为计数闸门信号。当testen为高电平时开始计数;在testen的下降沿,要产生一个锁存信号lock,该锁存信号是testen取反的值,并且是上跳沿有效;锁存数据后,还要在下次testen上升沿到来之前产生清零信号clear,为下次计数作准备,clear信号也是上跳沿有效,它滞后lock信号0.5秒。测频控制信号发生器各信号之间的时序关系见图2所示。计数器在清零信号clear到来时清零,testen为高电平时开始测量待测信号FSIN的个数,输出DOUT以十进制数显示,本设计的频率计测量范围在10kHz以内

5、。课程设计说明书 NO.4 Clk Testen Lock Clear 图2 测频控制信号发生器的控制时序VHDL的实现过程中,其顶层的逻辑图如图3所示,由一个测频控制信号发生器TESTCTL,4个有时钟功能的十进制计数器CNT10,一个16位锁存器REG16B组成。CLK CQ3.0CLR CARRY_OUT ENA FSIN DIN3.0 CNT10 CLK CLEAR TESTEN LOCK CLKCLR CARRY_OUTENA DIN7.4 CNT10 TESTCTL REG16B DIN11.8 CNT10 LOCK DOUT15.0DIN15.0 DIN15.12 CNT10 D

6、OUT15.0 图3 4位十进制数字频率计顶层逻辑图2.2.2 VHDL程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;课程设计说明书 NO.5ENTITY TESTCTL ISPORT(CLK: IN STD_LOGIC; CNT_EN,RST_CNT,LOAD:OUT STD_LOGIC);END;ARCHITECTURE BEHAVIOR OF TESTCTL IS SIGNAL div2clk:STD_LOGIC;BEGIN PROCESS(CLK) BEGIN IF CLKEVENT

7、 AND CLK=1 THEN div2clk=NOT div2clk; END IF; END PROCESS;PROCESS(CLK,div2clk)IF (CLK=0 AND div2clk=) THENRST_CNT=; ELSE RST_CNTEND PROCESS;LOADCNT_EN=div2clk;END BEHAVIOR;课程设计说明书 NO.6锁存器(REG4B)的程序如下。ENTITY REG4B ISPORT(LOAD: DIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0); DOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

8、ARCHITECTURE BEHAVIOR OF REG4B IS PROCESS(LOAD,DIN) IF LOADEVENT AND LOAD= DOUT=DIN;顶层描述的VHDL程序。课程设计说明书 NO.7ENTITY plj ISPORT(fsin: -待测信号 clk:IN STD_LOGIC; -1Hz基准时钟 dout: OUT STD_LOGIC_VECTOR(15 DOWNTO 0); -计数结果END plj;ARCHITECTURE behav OF plj ISSIGNAL testen: - 计数器使能信号SIGNAL clear: STD_LOGIC; -计数器

9、清零信号SIGNAL fig: STD_LOGIC_VECTOR(15 DOWNTO 0); -计数值IGNAL fig:PROCESS(clk)IF clkevent AND clk=1 THEN testen=NOT testen; -对1Hz时钟2分频END IF;PROCESS (clk, testen) IF clk= 0 AND testen = THEN clear 1 ; -产生计数器清零信号 ELSE clearPROCESS(fsin) IF clear=THEN fig =0000000000000000 ELSIF fsinevent AND fsin= THEN课程设

10、计说明书 NO.8IF fig (11 DOWNTO 0)= 100110011001 THEN fig = fig +011001100111 -999进位 ELSIF fig (7 DOWNTO 0)= 10011001= fig + 01100111 - 99进位 ELSIF fig (3 DOWNTO 0)= 10010111 - 9进位 ELSE fig = fig +PROCESS(testen, fig) IF testenevent AND testen= THEN dout= fig; -输出锁存信号END behav;3、设计结果与分析利用MAX+plus II对VHDL的

11、源设计进行编译、优化、逻辑综合,自动地把VHDL程序转变成门级电路 ,其仿真波形如图4所示。Name Value 0.0ns 2.0s 4.0sfsin 0clk 0 dout H0000 0000 0010图四 仿真波形图课程设计说明书 NO.94、设计体会 通过这次的课程设计让我们提高了动手能力,加深巩固了EDA技术的学习内容。在几天的设计中充分体会到了EDA技术在我们电子信息工程专业的学习中有着很大的重要性,必须要学好这门技术才能理解电子信息工程专业的发展方向。VHDL语言在将来的学习生活中也有可能占有重要的地位,是我们专业就业必须要有的一门技术。5、参考资料1 潘松,黄继业EDA技术实用教程M北京:科学出版社,2005 170-2602 杨则,龙海燕VHDL与数字系统设计M北京:电子工业出版社,2004 65-1603徐志军 大规模可编程逻辑器件及其应用M.成都:电子科技大学出版社,2000 130-2004 武卫华 陈德宏 基于EDA技术的数字频率计芯片化的实现 电测与仪表 2004年 第4期5 边计年,薛宏熙译用VHDL设计电子线路M北京:清华大学出版社,2000 205-3006 张霞.VHDL在现代EDA中的应用.现代电子技术.2005.5 143-170课程设计说明书 NO.10

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1