ImageVerifierCode 换一换
格式:DOCX , 页数:22 ,大小:559.09KB ,
资源ID:22633067      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/22633067.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(八路抢答器EDA课程设计VHDLWord文档下载推荐.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

八路抢答器EDA课程设计VHDLWord文档下载推荐.docx

1、主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成检测数码管工作情况。其工作原理为:接通电源后,主持人将开关拨到清除状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于开始状态,宣布抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作和状态开关。图2-1 抢答器结构框图第三节 抢答器的工作流程图2-2抢答器的基本工作原理:在抢

2、答竞赛或呼叫时,有多个信号同时或不同时送入主电路中,抢答器内部的寄存器工作,并识别、记录第一个号码,同时内部的定时器开始工作,记录有关时间并产生超时信号。在整个抢答器工作过程中,显示电路、语音电路等还要根据现场的实际情况向外电路输出相应信号。抢答器的工作流程分为、系统复位、正常流程、犯规流程等几部分,如图2-2所示。第四章 抢答器的电路设计第一节抢答器的总体结构如图4-1所示为总体方框图。接通电源后,后台工作人员将检测开关S置“检测”状态,数码管在正常清除下,显示“”;当后台工作人员将检测开关S置“抢答”状态,主持按系统清除按键,抢答器处于禁止状态,编号显示器灭灯;主持人松开,宣布“开始”,抢

3、答器工作。选手按动抢答按键,抢答器完成:优先判断、编号锁存、编号显示。当一轮抢答之后,优先抢答选手的编号一直保持到主持人将系统清除为止。如果再次抢答必须由主持人再次按动系统清除按键。图4-1第二节优先判断与编号锁存电路优先判断与编号锁存电路如图4-2所示。电路选用优先编码器 74LS148 和锁存器 74LS279 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号;二是禁止其他选手按键,其按键操作无效。工作过程:系统清除按键按动时,74LS279的四个RS触发器的置0端均为0,使四个触发器均被置0。1Q为0,使74LS148的使能端 =0,74LS148处于允许

4、编码状态,同时1Q为0,使74LS48的灭灯输入端 =0,数码管无显示。这时抢答器处于准备抢答状态。当系统清除按键松开时,抢答器处于等待状态。当有选手将按键开关按下时,抢答器将接受并显示抢答结果,假设按下的是S4,则74LS148的编码输出为011,此代码送入74LS279锁存后,使4Q3Q2Q=100,亦即74LS148的输入为0100;又74LS148的优先编码标志输出 为0,使1Q=1,即 =1,74LS48处于译码状态,译码的结果显示为“4”。同时1Q=1,使74LS148的 =1,74LS148处于禁止状态,从而封锁了其他按键的输入。此外,当优先抢答者的按键松开再按下时,由于仍为1Q

5、=1,使 =1,74LS148仍处于禁止状态,确保不会接受二次按键时的输入信号,保证了抢答者的优先性。(74LS148为8线3线优先编码器,表1为其真值表,图3为逻辑图;74LS279为四个/R/S 锁存器,表2为其真值表,图4为逻辑图。) 图4-2InputsOutputsHLNot sure第五章 抢答器的单元电路设计简易逻辑数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。现简单介绍抢答器设计中的抢

6、答电路、定时电路、报警电路、时序控制电路、显示及译码电路。第一节 抢答器设计中的抢答电路参考电路如图5-1所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。开关S置于“清除”端时,RS触发器的端均为,4个触发器输出置,使74LS148的,使之处于工作状态。当开关S置于“开始”时,抢答器处经RS锁存后,1Q=1,=1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q,使74LS148,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的此时由于仍为1Q,使,所以74L

7、S148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将开关重新置于“清除”然后再进行下一轮抢答。(LS148为线线优先编码器。图5-1第二节 抢答器设计中的定时电路由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计,具体电路如图5-2所示。本设计是以555构成震荡电路,由74LS192来充当计数器,构成抢答器的倒计时电路。该电路简单,无需用到晶振,芯片都是市场上容易购得的。设计功能完善,能实现直接清零、启动。图5-2

8、第三节 抢答器设计中的报警电路由555定时器和三极管构成的报警电路如图5-3所示。其中555构成多谐振荡器,振荡频率fo143(RI2R2)C,其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。图5-3第四节 抢答器设计中的时序控制电路时序控制电路是抢答器设计的关键,它要完成以下三项功能:主持人将控制开关拨到位置时,扬声器发声,抢答电路和定时电路进人正常抢答工作状态。当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。根据上面的功能要求,设计的时序控制电路如图

9、5-4所示。图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端 。图4-3的工作原理是:主持人控制开关从位置拨到位置时,来自于图4-1中的74LS279的输出 1Q=0,经G3反相, A1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则定时到信号为1,门G2的输出=0,使 74LS148处于正常工作状态,从而实现功能的要求。当选手在定时时间内按动抢答键时,1Q1,经 G3反相, A0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出=1,74LS148处于禁止工作状态,从而实现功能

10、的要求。当定时时间到时,则为0,=1,74LS148处于禁止工作状态,禁止选手进行抢答。同时, 门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现功能的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。图5-4第五节 显示与译码电路七段显示译码器与数码管如下图7段显示译码所示,74LS48将锁存器74LS279的信号译码,输出给数码管。当后台工作人员将S置于GND,=0,使灯测试输入端(图中3号)=1,这时测试数码管工作情况;当后台工作人员将S置于Vcc,=1,使灯测试输入端(图中3号)=1,这时正常译码。(74LS48为4线七段译码器/驱动器,图4-6为逻

11、辑图)7段显示译码器与数码管74LS48逻辑图第六章 基于VHDL的实体设计MAX+plus是美国ALTERA公司提供的FPGACPLD开发集成环境,该公司是世界最大的可编程逻辑器件供应商之一。MAX+plus界面友好,使用便捷,被誉为业界最容易的EDA软件。下面详细论述使用MAX+plus软件设计8路抢答器控制系统的过程。本设计采用用Altera公司MAX7000S系列的EPM7128SLC84-15来实现。(校EDA实验室EDA-V实验箱中所用CPLD芯片)。第一节 程序设计一 编码程序:LIBRARY ieee;USE change ISPORT(q1,q2,q3,q4,q5,q6,q7

12、,q8: IN STD_LOGIC; clr : m: OUT STD_LOGIC_vector(3 downto 0); en: OUT STD_LOGIC); END change;ARCHITECTURE a OF change ISBEGINprocess(q1,q2,q3,q4,q5,q6,q7,q8,clr)variable temp:STD_LOGIC_vector(7 downto 0);begintemp:=q1&q2&q3&q4&q5&q6&q7&q8;case temp iswhen01111111=m1111end case;en = temp(7) AND temp(

13、6) AND temp(5) AND temp(4) AND temp(3) AND temp(2) AND temp(1) AND temp(0) AND clr;end process;END a;二 锁存程序:USE lock ISPORT(s1: s2: s3: s4: s5: s6: s7: s8:clr: q1,q2,q3,q4,q5,q6,q7,q8:END lock;ARCHITECTURE a OF lock IS BEGINprocess(s1,s2,s3,s4,s5,s6,s7,s8,clr)if(clr =0) thenq1=1q2q3q4q5q6q7q8else=s1

14、;=s2;=s3;=s4;=s5;=s6;=s7;=s8;end if;三 抢答成功扬声器发声程序:USE cnt ISPORT(clk,en: in STD_LOGIC; sound1:out STD_LOGIC);END cnt;ARCHITECTURE a OF cnt ISprocess(en,clk)if(clkevent and clk= if(en= sound1 BCD 00000000END CASE;END PROCESS;第二节 编译管脚设置程序输入完成后然后选择用于编程的目标芯片:选择菜单 “Assign”“Device”, 窗口中的 Device Family 是器件

15、序列栏, 先在此栏中选择 MAX7000S。然后选择 EMP7128SLC84- 15 器件, 按 OK,就可以进行编译了,经“MAX+PLUSE II”中的“Compiler”菜单编译,以验证设计结果是否符合要求,如果有问题,则返回原设计文件再次进行修改, 直到正确为止。编译无误后经“MAX+PLUSE II”中的“FLOORPLAN EDITOR” 菜单,进行输入、输出管脚设置,将元件端口放置到 EPM7128SLC84- 15芯片适当的I/O 口,并用手工调整按图十三所示设置。第三节仿真编译成功后进行仿真。首先建立波形文件。波形文件建好 并存盘后。选择菜单“Max+plusII”“sim

16、ulator”,启动仿真操作,结束后观察仿真波形( 图十四所示) 。从仿真波形看, 符合设计要求。图十四 顶层仿真波形图s1,s2,s3,s4,s5,s6,s7,s8输入q1,q2,q3,q4,q5,q6,q7,q8锁存输出M编码输出和BCD显示输入clk时钟clr 0,清零 1为开始抢答en抢答成功BCD数码管显示输出心得体会在课程设计的这段时间里,我认为收获还是很多的,不但进一步掌握了数字电子技术的基础知识及一门专业仿真软件的基本操作,还提高了自己的设计能力及动手能力,同时对于智能抢答器来了个系统的总结。更多的是让我看清了自己,明白了凡事需要耐心,实践是检验学习的唯一标准。理论知识的不足在

17、这次课设中表现的很明显。这将有助于我今后的学习,端正自己的学习态度,从而更加努力的学习。总之,通过本次课程设计不但让我又学到了一些知识,而且也提高了我的综合能力。使我在各方面都得到了锻炼,非常感谢我的同组同学,也非常感谢我们的指导老师,使我们这次的课程设计任务圆满完成。参考文献1康华光 主编,电子技术基础-数字部分,高等教育出版社,1998。2谭会生等 主编,EDA技术及应用,西安电子科技大学出版社,20013潘松等 主编,EDA技术实用教程,科学出版社,20064雷伏容 主编,VHDL电路设计,清华大学出版社,20065Charles 等着,数字系统设计与VHDL,电子工业出版社6丁建伟.抢

18、答器电路设计J.兰州工业高等专科学校学报,2008,(04).13-17. 7王冬梅,张建秋.八路抢答器设计与实现J.?佳木斯大学学报(自然科学版), 2009,(06).22-26.8蔡明生,黎福海,徐文玉.电子设计.北京:高等教育出版社.2004.9王树昆,赵晓巍,EDA技术在教学中的应用.吉林工程技术师范学院学报,2003;19(9):4-7附录 元器件清单:74LS48 3个电阻68 K 1个74LS121电阻510 2个74LS148电容10uF74LS192电容74LS279电容100uFNE555发光二极管电阻1 K按键开关 9个电阻10 K共阴数码管电阻15 K74LS00电阻

19、 K74LS11三极管3DG12电阻100 K1个总程序:LIBRARY IEEE;USE total ISPORT(clk: clr:s1,s2,s3,s4,s5,s6,s7,s8 :sound1 : OUT STD_LOGIC; OUT STD_LOGIC_vector(7 downto 0); END total;ARCHITECTURE total_run OF total ISCOMPONENT change PORT(q1,q2,q3,q4,q5,q6,q7,q8: clr: m: en:END COMPONENT;COMPONENT cntCOMPONENT displayPOR

20、T(l:BCD1: OUT STD_LOGIC_VECTOR(7 downto 0);signal en : STD_LOGIC;signal m : STD_LOGIC_vector(3 downto 0);signal q1,q2,q3,q4,q5,q6,q7,q8 : u1 : lock PORT MAP(s1,s2,s3,s4,s5,s6,s7,s8,clr,q1,q2,q3,q4,q5,q6,q7,q8); u2 : change PORT MAP(q1,q2,q3,q4,q5,q6,q7,q8,clr,m,en); u3 : cnt PORT MAP(clk,en,sound1); u4 : display PORT MAP(m, BCD);END total_run;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1