ImageVerifierCode 换一换
格式:DOCX , 页数:16 ,大小:120.70KB ,
资源ID:22403611      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/22403611.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的DDS信号发生器设计图文Word格式文档下载.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的DDS信号发生器设计图文Word格式文档下载.docx

1、4系统功能及原理。(系统组成框图、电路原理图) 5各模块的功能,原理,器件选择 6结果分析 7设计小结 8附录-参考文献 2012年9 月1日摘 要波形发生器己成为现代测试领域应用最为广泛的通用仪器之一,代表了波形发生器的发展方向。随着科技的发展,对波形发生器各方面的要求越来越高。近年来, 直接数字频率合成器(DDS )由于其具有频率分辨率高、频率变换速度快、相位可连续变化等特点, 在数字通信系统中已被广泛采用而成为现代频率合成技术中的佼佼者。本次设计的是多功能信号发生器,它能够产生方波,三角波,锯齿波和正弦波四种基本波形。结合DDS 技术,通过对FPGA 的编程实现产生多种波,本电路是通过键

2、盘扫描判断,进入相应的功能程序,然后实现频率调节,波形转换,幅度控制的。本次设计中我负责的是波形输出模块,通过调节要输出方波,三角波,锯齿波和正弦波四种基本波形。关键字:波形发生器,直接数字频率合成器, 现场可编程门阵列ABSTRACTWaveform generator has become one of the most popular instruments in a modern testing field, representing the development direction of waveform generator.With the development of scie

3、nce and technology , the waveform generator for various aspects of increasingly high demand.In recent years, direct digital frequency synthesizer ( DDS since it has high frequency resolution, frequency conversion speed, phase can be changed continuously wait for a characteristic, in a digital commun

4、ication system has been widely used and become the leader in the modern synthesis technology.This design is a multifunctional signal generator, which can produce square wave, triangle wave, sawtooth wave and sine wave four basic waveforms. Combined with the technology of DDS, through the FPGA progra

5、mming to generate multiple waves, this circuit is through the keyboard scan, the entry corresponding to the function of the procedure, and then realizing frequency modulation, a waveform conversion, amplitude control.Keywords :Waveform generator,DDS ,FPGA1. 方案选择与方案论证数据输入:方案一:4x4矩阵键盘优点:由8个IO口检测16个按键,

6、可以大大节省IO口资源。 缺点:控制时序较复杂,增加编程和调试的难度。 方案二:独立按键控制时序较简单,较易于编程与调试。比较浪费IO口资源。 方案三:拨码开关控制时序简单,易于编程和调试。通过比较各种因素,我选择方案一,波形输出:存储波形数据的ROM/RAM + DAC0832 优点:1. 可以显示复杂波形2. 可以有效控制输出波形的频率,幅度及相位 3. 节省FPGA 内部的逻辑资源 4. 可以简单的切换波形5. 使波形输出方式单一化,降低了编程难度 缺点:要消耗一定的ROM/RAM资源方案二:存储波形数据的ROM/RAM + 分频器输出矩形波 + DAC0832 优点:2. 可以有效控制

7、输出波形的频率,幅度及相位 3. 节省FPGA 内部的逻辑资源 4. 可以较简单的切换波形缺点:矩形波的频率,幅度和相位的调节需要另设相位累加电路,消耗一定的逻辑资源方案三:case 语句 + 分频器输出矩形波 + DAC0832 优点:在数据传输的反应速度上,在所需速度很快时占优势 缺点:1. 大量浪费FPGA 内部的逻辑资源2. 波形数据较大时,会给代码的调试和维护带来不便。方案四:存储波形数据的ROM/RAM + 分频器输出矩形波 + 计数器输出锯齿波和三角波 + DAC0832 优点:2. 可以有效控制输出波形的频率,幅度及相位每种波形都需要另设相位累加电路来控制其频率,相位和幅度,消

8、耗较多的逻辑资源方案五:case 语句 + 分频器输出矩形波 + 计数器输出锯齿波和三角波 + DAC0832。在数据传输的反应速度上,在所需速度很快时占优势。1. 大量浪费FPGA 内部的逻辑资源。为了减小设计周期,减小编程难度,便于后期的调试工作,而且能方便的对幅度和频率进行调节,我选择方案一。综上几种方案,我们组采用了数据输入的方案一,4x4矩阵键盘,由8个IO口检测16个按键,可以大大节省IO口资源。波形输出的方案二,存储波形数据的ROM/RAM + 分频器输出矩形波 + DAC0832 可以显示复杂波形,有效控制输出波形的频率,幅度及相位,容易达到我们需要的效果,可以较简单的切换波形

9、,而且节省了FPGA 内部的逻辑资源。2. 系统功能与原理2.1 DDS的基本原理DDS 技术是一种把一系列数字量形式的信号通过DAC 转换成模拟量形式的信号的合成技术,它是将输出波形的一个完整的周期、幅度值都顺序地存放在波形存储器中,通过控制相位增量产生频率、相位可控制的波形。DDS 电路一般包括基准时钟、相位增量寄存器、相位累加器、波形存储器、D/A转换器和低通滤波器(LPF )等模块,如图1.1所示。相位增量寄存器寄存频率控制数据,相位累加器完成相位累加的功能,波形存储器存储波形数据的单周期幅值数据,D/A转换器将数字量形式的波形幅值数据转化为所要求合成频率的模拟量形式信号,低通滤波器滤

10、除谐波分量。整个系统在统一的时钟下工作,从而保证所合成信号的精确。每来一个时钟脉冲,相位增量寄存器频率控制数据与累加寄存器的累加相位数据相加,把相加后的结果送至累加寄存器的数据输出端。这样,相位累加器在参考时钟的作用下,进行线性相位累加,当相位累加器累加满量时就会产生一次溢出,完成一个周期性的动作,这个周期就是DDS 合成信号的一个频率周期,累加器的溢出频率就是DDS 输出的信号频率。相位累加器输出的数据的高位地址作为波形存储器的地址,从而进行相位到幅值的转换,即可在给定的时间上确定输出的波形幅值。波形输出图1 DDS原理图波形存储器产生的所需波形的幅值的数字数据通过D/A转换器转换成模拟信号

11、,经过低通滤波器滤除不需要的分量以便输出频谱纯净的所需信号。信号发生器的输出频率fo 可表示为:f 0=M . f =M . f s 2N( 1.1式中f s 为系统时钟,f 为系统分辨率,N 为相位累加器位数,M 为相位累加器的增量。参数确定及误差分析. 2.2 参数确定首先确定系统的分辨率f ,最高频率f max,及最高频率f max 下的最少采样点数N min 根据需要产生的最高频率f max 以及该频率下的最少采样点数N min ,由公式f s f max . N min(1.2确定系统时钟f s 的下限值。同时又要满足分辨率计算公式f s 2=f(1.3综合考虑决定f s 的值。选定

12、了f s 的值后,则由公式(1.3可 得2f sf , 据此可确定相位累加器位数N 。然后由最高输出频率f o =f MS(1.4推出M 2,得出相位增量寄存器为S 位。确定波形存储器的地址位数W ,本系统中决定寄存2个数据值,因此RAM 地Z址为Z 位。一般选用FPGA/CPLD器件作为DDS 的实现器件,对于D/A转换器的选择,首先要考虑到D/A转换器的转换速率。要实现所需的频率,D/A的转换速度要大于f max . N min,然后根据D/A转换器字长所带来的误差,决定D/A的位数。由此选择D/A转换器的型号。3. 硬件电路设计3.1 分频器、信号发生器产生、控制和显示的总体结构图如图所

13、示图2 总体结构图外部输入一个50MHZ 的时钟频率,经过频率控制单元控制其频率在要求的范围内,由4选1数据选择器实现对输出波形的选择,再通过D/A转换模块,将数字信号转换成模拟信号在示波器上显示出来。 3.2 总体原理图基于VHDL 语言设计一个简易多功能信号发生器,通过选入输入信号,可以输出正弦波、三角波、方波和锯齿波四种波形信号。信号发生器的控制模块可以用数据选择器实现,四种信号的信号选择可以用4选1数据选择器实现。同时本设计使用原理图的方法,对正弦波、三角波、方波和锯齿波和4选1数据选择器元件进行调用。简易多功能信号发生器的原理图如下:图3 总体原理图3.3 DDS的FPGA 实现相位

14、累加器与相位寄存器的设计相位累加器与相位寄存器主要完成累加,实现输出波形频率可调功能。利用Quartus II可编程逻辑器件系统开发工具进行设计。首先,打开Quartus II软件,新建一个工程管理文件,然后在此工程管理文件中新建一个Verilog HDL源程序文件,并用硬件描述语言Verilog HDL编写程序实现其功能。在设计过程中,可在一个模块中描述。 4.D/A转换电路图4 D/A转换电路数据转换器输出的数据是数字形式的电压值,为实现数字电压值与模拟电压值之间的转换,系统还专门设计D/A转换电路,其D/A转换电路原理图如图3所示。4. 软件设计4.1 VHDL程序设计系统软件的主要任务

15、是:将送入的频率,相位差控制字,控制输出波形种类进处理得到三种不同的波形,频率和相位差。首先是对DDS 子程序进行设计,利用类属语句对输入频率字,相位字,累加器,正弦ROM 表的地址位宽和数据进行说明,软件的主要任务是在累加器中按输入的频率字进行循环累加,将截断的数据与输入的相位字进行累加。 4.2总程序流程设计图图5 总程序流程图4.3子程序流程图图6 子程序流程图5. 结果分析:5.1 波形仿真图7 正弦波仿真图图8 方波仿真图图9 三角波仿真图5.2. 输出波形:正弦波 三角波矩形波6. 设计小结:本次设计以直接数字频率合成技术(DDS为基础的波形信号发生器工作原理和设计过程,并在FPG

16、A 实验平台上设计实现了满足各功能指标的信号发生器。系统硬件除需外加滤波整形电路外,其余部分均可在FPGA 开发实验系统KH 310上集成开发,系统软件可在Quartus 下编写代码,实现数据信息处理和控制操作等功能。整体开发环境成熟,应用工具齐全,随着FPGA 性价比的不断提高,基于FPGA 平台开发信号发生器将逐步走向标准化、规模化和产品化。在这次课程设计中,我的工作主要是相位累加器的底层模块的代码编写和调试和ROM 定制,负责波形输出模块,能够完成顺利的完成对波形类型的输出,如:三角波、方波、正弦波。虽然在设计过程中遇到很多困难 ,不过在老师和同学的帮助得到了解决,通过这次设计也使我的动

17、手能力得到加强,学会发现问题并通过查阅资料,与同学讨论请教老师,来解决问题。总之,我在这次设计实践中受益匪浅,积累了经验。参考文献:【1】 姜雪松、张海风,可编程逻辑器件和EDA 设计技术,北京:机械工业出版社,2004 【2】潘松,黄继业主编,EDA 技术实用教程【J 】,科学出版社2002:321346.【3】彭文标, 黄悦华. 基于DDS 技术的信号源设计与实现J.微计算机信息,2007(20):271-272.RP3U2L C D V C CJP1P N 86信号发生器原理图附录2:信号发生器PCB 图module ROM_READ(CLK50M , F , MOD , V , ROM

18、_DATA;input CLK50M ; /clock source 50Mhz - 20ns input 15:0F ; /11,000,000Hz input 1:0MOD ; /tri,sin,01 input 7:0V ; /voltage 050 output reg 7:0ROM_DATA;wire DIV_CLK; wire 15:0DIVP ;wire 0:7tri_data; wire 0:7sin_data;7toc_data;7mtx_data; reg 6:0address ;assign DIVP = 50000000 / (256*F ;DivClk rom_clk

19、(CLK50M , DIVP , DIV_CLK;TAG tri_rom(address , CLK50M , tri_data; SIN sin_rom(address , CLK50M , sin_data; TOC toc_rom(address , CLK50M , toc_data; MTX mtx_rom(address , CLK50M , mtx_data;always (posedge DIV_CLK begin if (address = 7b1111110 address = address + 1; elseaddress h00; endalways (posedge DIV_CLK begin /根据选取方案不同,删除对应语句 case (MOD 2b00:ROM_DATA = (tri_data * V /50;/三角波 2b01:= (sin_data * V /50;/正弦波 2b10:= (toc_data * V /50;/锯齿波 2b11:= (mtx_data * V /50;/矩形波 endcase endendmodule

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1