ImageVerifierCode 换一换
格式:DOCX , 页数:18 ,大小:20.83KB ,
资源ID:22258072      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/22258072.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程设计分析方案书抢答器Word下载.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程设计分析方案书抢答器Word下载.docx

1、经过人工设计、制作实验板、调试再修改的多次循环才定型的传统产品设计方法必然被计算机辅助设计所取代,因为这种费时费力又费资源的设计调试方法既增加了产品开发的成本,又受到实验工作场地及仪器设备的限制。为了克服上述困难,加拿大Interactive Image Technologies公司推出的基于Windows 9598NT操作系统的EDA软件(Electronics Workbench“电子工作台”,EWB)。他可以将不同类型的电路组合成混合电路进行仿真。EWB是用在计算机上作为电子线路设计模拟和仿真的新的软件包,是一个具有很高实用价值的计算机辅助设计工具。目前已在电子工程设计等领域得到了广泛地

2、应用。与目前流行的电路仿真软件相比较,EWB具有界面直观、操作方便等优点。他改变了有些电路仿真软件输入电路采用文本方式的不便之处,该软件在创建电路、选用元器件的测试仪器等均可以直接从屏幕图形中选取,而且测试仪器的图形与实物外形基本相似,从而大大提高了电子设计工作的效率。此外,从另一角度来看,随着计算机技术和集成电路技术的发展,现代电子与电工设计,已经步入了电子设计自动化(EDA)的时代,采用虚拟仿真的手段对电子产品进行前期工作的调试,已成为一种发展的必然趋势。通过对实际电子线路的仿真分析,从而提高对电路的分析、设计和创新能力。第二章 抢答器的设计要求 在许多比赛活动中,为了准确、公正、直观地判

3、断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时,还可以设置计分、犯规及奖惩计录等多种功能。本设计的具体要求是: (1) 设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。(2) 电路具有第一抢答信号的鉴别和锁存功能。(3) 系统具有计分电路。(4) 系统具有犯规电路。系统设计方案:系统的输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:四个组抢答成功与否的指

4、示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。整个系统至少有三个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块,其他功能模块(犯规警告模块,输出显示模块)。第三章 抢答器的设计分析按照要求,我们可以将整个系统分为四个主要模块:抢答计分模块;译码显示模块。对于需显示的信息,需要增加或外接译码器,进行显示译码。考虑到实验开发平台提供的输出显示资源的限制,我们将组别显示和计时显示的译码器内设,而将各组的计分显示的译码器外接。整个系统的大致组成框图如图2.1所示。图 2.1

5、第四章 抢答器的抢答鉴别模块4.1抢答鉴别模块的功能抢答队伍共分为四组A,B,C,D。当主持人按下START键后,四组队伍才可以按抢答键抢答。抢答成功后表示该组的指示灯见亮起,但在主持人未按下START键之前,所有的抢答键按下均是无效的。当任意一个组抢答成功后,其余的组按抢答键无效。抢答键为A,B,C,D四个键。4.2抢答鉴别模块的源程序library ieee。use ieee.std_logic_1164.all。entity jb is port(sta:in std_logic。 rst: a,b,c,d: a1,b1,c1,d1:out std_logic。 states: out

6、std_logic_vector(3 downto 0)。 start: out std_logic)。end entity jb。architecture art of jb is constant w1: std_logic_vector:=0001。 constant w2:0010 constant w3:0100 constant w4:1000 signal sinor: std_logic。 signal nsinor: signal s_start: begin sinor=a or b or c or d。 nsinor=not(a or b or c or d)。 star

7、t=s_start。 process(sta,nsinor) is begin if (sta=1) then s_start= elsif(nsinorevent and nsinor=)then0 end if。 end process。 process(rst,sta,sinor,nsinor) is if(rst= or sta= or nsinor= a1b1c1d1 elsif(sinorevent and sinor= if(s_start= if(a= elsif(b= elsif(c= elsif(d= process(sinor) is states0000=w1。=w2。

8、=w3。=w4。end architecture art。4.3抢答鉴别模块的时序仿真图图 4.1抢答开始后,A组按下抢答键,抢答成功第五章 抢答器的抢答计时模块 5.1抢答计时模块的功能主持人宣布抢答成功后,按下EN键,选手开始回答,系统开始计时。TA和TB键选择计时的时间(TA:9秒,TB:7秒)5.2 抢答计时模块的源程序use ieee.std_logic_unsigned.all。entity js is port(clr,ldn,en,clk: ta,tb: in std_logic。 qa: qb: out std_logic_vector(3 downto 0)。end ent

9、ity js。architecture art of js is signal da: std_logic_vector(3 downto 0)。 signal db:begin process(ta,clr) is if(clr= da1001 elsif(taevent and ta= if(ldn= if(da= else=da-1。 process(tb,clr) is db0101 elsif(tbevent and tb= if db=then=db-1。 process(clk) is variable tmpa: variable tmpb: tmpa: tmpb: elsif

10、 clkevent and clk= then if en=da。=db。 elsif tmpa= if tmpb=tmpb-1。=tmpa-1。 qa=tmpa。 qb=tmpb。5.3抢答计时模块的时序仿真图图 5.1按下EN开始答题,回答问题时,选择TA模式计时第六章 抢答器的计分模块6.1抢答计分模块的功能主持人确认选手回答正确后,按下ADD键为选手加分。6.2抢答计分模块的源程序entity jf is port(rst: add: chose: in std_logic_vector(3 downto 0)。 aa2,aa1,aa0,bb2,bb1,bb0: cc2,cc1,cc0

11、,dd2,dd1,dd0:end entity jf。architecture art of jf is process(rst,add,chose) is variable a2,a1:std_logic_vector(3 downto 0)。 variable b2,b1: variable c2,c1: variable d2,d1: a2:a1: b2:b1: c2:c1: d2:d1: elsif(addevent and add= if chose= if a1= a1: if a2=a2+=a1+ elsif chose= if b1= b1: if b2=b2+=b1+ if

12、c1= c1: if c2=c2+=c1+ if d1= d1: if d2=d2+=d1+ aa2=a2。aa1=a1。aa0 bb2=b2。bb1=b1。bb0 cc2=c2。cc1=c1。cc0 dd2=d2。dd1=d1。dd0out70000000 end case。end architecture。7.3译码显示模块的时序仿真图图 7.1输入0001,输出0000110,在共阴极数码管上显示1第八章 抢答器的其他功能模块其他功能模块的具体信息犯规功能模块:但主持人未按下START键时,若有选手按抢答键,系统报警。犯规功能模块的源程序:entity fg is port(a,b,c,

13、d,start: y:out std_logic)。end fg。architecture bhv of fg is process(a,b,c,d,start) if start= then if (a or b or c or d)= y犯规功能模块的时序仿真图:图 8.1B组提前抢答,报警铃鸣叫示意第九章 抢答器的顶层原理图设计9.1顶层原理图的源文件图 9.19.2顶层原理图的时序仿真图图 9.2A组抢答成功,问题回答正确,加分第十章 抢答器的硬件测试10.1抢答器的引脚绑定由于硬件条件的限制,只测试抢答鉴别功能的检测。实验用的芯片为GWAC3EP1C3TC144采用实验电路模式6检测

14、,引脚绑定如下图:图 10.1ZB接共阴极数码管,A,B,C,D接按键5,6,7,8。10.2抢答器的测试结果按下5键,数码管显示1,即A组抢答成功。第十一章 课程设计的总结本次的EDA课程设计历时三个星期,时间虽短,但通过三个星期的实践,使我对EDA技术有了更进一步的了解。同时,大致懂得了一个课题制作的具体流程和实施方法。另外,课程设计对Quartus软件的使用要求较高,从而使我能较为熟练的运用此软件。在设计时,采用模块化的设计思路使得问题变的简单明了,大大缩短了时间,降低了发生错误的机侓,也便于修改和更新。课程设计中,需要找很多资料,在当今的信息化环境中,虽然资料很多,但需要仔细斟酌才能找

15、到所要的。这次的课程设计很好的锻炼了这种能力。此外,与同学和老师的交流必不可少,我从中也学到了不少东西。课程设计是一次很好的锻炼机会,我从中学的很多知识对将来的学习和工作都有很大的帮助,十分感谢学校能提供这样一个机会。【1】EDA技术与VHDL(第2版) 潘 松黄继业 编著 清华大学出版社.2007【2】EDA技术实验与课程设计曹昕燕周凤臣聂春燕 编著清华大学出版社.2006【3】杭州康芯电子有限公司GW48-PK3实验系统说明书. 杭州康芯电子有限公司.2006版权申明本文部分内容,包括文字、图片、以及设计等在网上搜集整理。版权为潘宏亮个人所有This article includes so

16、me parts, including text, pictures, and design. Copyright is Pan Hongliangs personal ownership.用户可将本文的内容或服务用于个人学习、研究或欣赏,以及其他非商业性或非盈利性用途,但同时应遵守著作权法及其他相关法律的规定,不得侵犯本网站及相关权利人的合法权利。除此以外,将本文任何内容或服务用于其他用途时,须征得本人及相关权利人的书面许可,并支付报酬。Users may use the contents or services of this article for personal study, research or appreciation, and other non-commer

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1