ImageVerifierCode 换一换
格式:DOCX , 页数:27 ,大小:1.96MB ,
资源ID:21871185      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/21871185.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的多功能电子时钟设计报告Word文档下载推荐.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的多功能电子时钟设计报告Word文档下载推荐.docx

1、1.1 选题背景本节将从FPGA嵌入式应用开发技术与数字钟技术开展的客观实际出发,通过对该技术开展状况的了解及课题本身的需要,指出研究基于FPGA的芯片系统与设计数字钟的设计与实现的必要性。1.1.1 课题相关技术的开展当今电子产品正向功能多元化,体积最小化,功耗最低化的方向开展。它与传统的电子产品在设计上的显着区别是大量使用大规模可编程逻辑器件,使产品的性能提高,体积缩小,功耗降低,同时广泛运用现代计算机技术,提高产品的自动化程度和竞争力,缩短研发周期。EDA技术正是为了适应现代电子技术的要求,吸收众多学科最新科技成果而形成的一门新技术。美国ALTERA公司的可编程逻辑器件采用全新的结构和先

2、进的技术,加上Quartus开发环境,使得其更具有高性能,开发周期短等特点,十分方便进行电子产品的开发和设计。2EDA技术以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述主要表达方式,以计算机和大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。本设计是利用VHDL硬件描述语言结合可编程逻辑器件进行的,并通过数码管静态显示走时结果。数字钟可以由各种技术实现,如单片机等。利用可编程逻辑器件具有其它方式没有的特点,它具有易学、方便、

3、新颖、有趣、直观,设计与实验成功率高、理论与实践结合紧密、积小、量大、/O口丰富、编程和加密等特点,并且它还具有开放的界面、丰富的设计库、模块化的工具以及LPM定制等优良性能,应用非常方便。因此,本设计采用可编程逻辑器件实现。1.1.2 课题研究的必要性现在是一个知识爆炸的新时代。新产品、新技术层出不穷,电子技术的开展更是日新月异。可以毫不夸张的说,电子技术的应用无处不在,电子技术正在不断地改变着我们的生活,改变着我们的世界。在这快速开展的年代,时间对人们来说是越来越珍贵,在快节奏的生活时,人们往往忘记了时间,一旦遇到重要的事情而忘记了时间,这将会带来很大的损失,因此我们需要一个定时系统来提醒

4、这些忙碌的人。数字化的钟表给人们带来了极大的方便。近些年,随着科技的开展和社会的进步,人们对数字钟的要求也越来越高,传统的时钟已不能满足人们的需求,多功能数字钟不管在性能还是在样式上都发生了质的变化,有电子闹钟、数字闹钟等等。1.2 课题研究的内容本设计主要研究基于FPGA的数字钟,要求时间以24小时为一个周期,显示时、分。具有校时以及整点报时功能,可以对时、分进行单独校对,使其校正到标准时间。校对时间由15矩形键盘进行控制,为了保证计时的稳定及准确须由晶体振荡器提供时间基准信号。第二章 FPGA简介2.1 FPGA概述FPGA是现场可编程门阵列Field Programmable Gate

5、Array的简称,与之相应的CPLD是复杂可编程逻辑器件Complex Programmable Logic Device的简称,两者的功能根本相同,只是实现原理略有不同,有时可以忽略这两者的区别,统称为可编程逻辑器件或CPLD/PGFA。CPLD/PGFA几乎能完成任何数字器件的功能,上至高性能CPU,下至简单的74电路。它如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入或硬件描述语言自由的设计一个数字系统。通过软件仿真可以事先验证设计的正确性,在PCB完成以后,利用CPLD/FPGA的在线修改功能,随时修改设计而不必改动硬件电路。使用CPLD/FPGA开发数字电路,可以大大缩短设计

6、时间,减少PCB面积,提高系统的可靠性。这些优点使得CPLD/FPGA技术在20世纪90年代以后得到飞速的开展,同时也大大推动了EDA软件和硬件描述语言HDL的进步。32.2 FPGA根本结构FPGA具有掩膜可编程门阵列的通用结构,它由逻辑功能块排成阵列,并由可编程的互连资源连接这些逻辑功能块来实现不同的设计。FPGA一般由3种可编程电路和一个用于存放编程数据的静态存储器SRAM组成。这3种可编程电路是:可编程逻辑模块CLB-Configurable Logic Block、输入/输出模块IOB-I/O Block和互连资源IRInterconnect Resource。可编程逻辑模块CLB是

7、实现逻辑功能的根本单元,它们通常规那么的排列成一个阵列,散布于整个芯片;可编程输入/输出模块IOB主要完成芯片上的逻辑与外部封装脚的接口,它通常排列在芯片的四周;可编程互连资源包括各种长度的连接线段和一些可编程连接开关,它们将各个CLB之间或CLB、IOB之间以及IOB之间连接起来,构成特定功能的电路。41.CLB是FPGA的主要组成局部。图2.1是CLB根本结构框图,它主要由逻辑函数发生器、触发器、数据选择器等电路组成。CLB中3个逻辑函数发生器分别是G、F和H,相应的输出是G、F和H。G有4个输入变量G1、G2、G3和G4;F也有4个输入变量F1、F2、F3和F4。这两个函数发生器是完全独

8、立的,均可以实现4输入变量的任意组合逻辑函数。逻辑函数发生器H有3个输入信号;前两个是函数发生器的输出G和F,而另一个输入信号是来自信号变换电路的输出H1。这个函数发生器能实现3输入变量的各种组合函数。这3个函数发生器结合起来,可实现多达9变量的逻辑函数。CLB中有许多不同规格的数据选择器四选一、二选一等,通过对CLB内部数据选择器的编程,逻辑函数发生器G、F和H的输出可以连接到CLB输出端X或Y,并用来选择触发器的鼓励输入信号、时钟有效边沿、时钟使能信号以及输出信号。这些数据选择器的地址控制信号均由编程信息提供,从而实现所需的电路结构。CLB中的逻辑函数发生器F和G均为查找表结构,其工作原理

9、类似于ROM。F和G的输入等效于ROM的地址码,通过查找ROM中的地址表可以得到相应的组合逻辑函数输出。另外,逻辑函数发生器F和G还可以作为器件内高速RAM或小的可读写存储器使用,它由信号变换电路控制。2.输入/输出模块IOB。IOB提供了器件引脚和内部逻辑阵列之间的连接。它主要由输入触发器、输入缓冲器和输出触发/锁存器、输出缓冲器组成。每个IOB控制一个引脚,它们可被配置为输入、输出或双向I/O功能。当IOB控制的引脚被定义为输入时,通过该引脚的输入信号先送入输入缓冲器。缓冲器的输出分成两路:一路可以直接送到MUX,另一路延时几个纳秒或者没有延时后送到输入通路D触发器,再送到数据选择器。通过

10、编程给数据选择器不同的控制信息,确定送至CLB阵列的I1和I2是来自输入缓冲器,还是来自触发器。当IOB控制的引脚被定义为输出时,CLB阵列的输出信号OUT也可以有两条传输途径:一条是直接经MUX送至输出缓冲器,另一条是先存入输出通路D触发器,再送至输出缓冲器。IOB输出端配有两只MOS管,它们的栅极均可编程,使MOS管导通或截止,分别经上拉电阻接通VCC、地线或者不接通,用以改善输出波形和负载能力。3.可编程互连资源IR。可编程互连资源IR可以将FPGA内部的CLB和CLB之间、CLB和IOB之间连接起来,构成各种具有复杂功能的系统。IR主要由许多金属线段构成,这些金属线段带有可编程开关,通

11、过自动布线实现各种电路的连接。2.3 FPGA系统设计流程一般说来,一个比拟大的完整的工程应该采用层次化的描述方法:分为几个较大的模块,定义好各功能模块之间的接口,然后各个模块再细分去具体实现,这就是自顶向下的设计方法。目前这种高层次的设计方法已被广泛采用。高层次设计只是定义系统的行为特征,可以不涉及实现工艺,因此还可以在厂家综合库的支持下,利用综合优化工具将高层次描述转换为针对某种工艺优化的网络表,使工艺转化变得轻而易举。CPLD/FPGA系统设计的工作流程如图2.2所示。流程说明:1.工程师按照“自顶向下的设计方法进行系统划分。2.输入VHDL代码,这是设计中最为普遍的输入方式。此外,还可

12、以采用图形输入方式,这种输入方式具有直观、容易理解的优点。3.将以上的设计输入编译成标准的VHDL文件。4.进行代码级的功能仿真,主要是检验系统功能设计的正确性。这一步骤适用于大型设计,因为对于大型设计来说,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间。一般情况下,这一仿真步骤可略去。5.利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网络表文件,这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASIC芯片供给商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库的支持下才能完成。6.利用产生的网络表文件进行适配前的时序仿真,仿真过程不涉及具体器件的硬件特性,

13、是较为粗略的。一般的设计,也可略去这一步骤。7.利用适配器将综合后的网络表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化和布局布线。8.在适配完成后,产生多项设计结果:a.适配报告,包括芯片内部资源利用情况,设计的布尔方程描述情况等;b.适配后的仿真模型;c.器件编程文件。根据适配后的仿真模型,可以进行适配后时序仿真,因为已经得到器件的实际硬件特性如时延特性,所以仿真结果能比拟精确的预期未来芯片的实际性能。如果仿真结果达不到设计要求,就修改VHDL源代码或选择不同速度和品质的器件,直至满足设计要求。最后将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯

14、片CPLD/FPGA中。5 系统划分编译器代码级功能仿真综合器适配前时序仿真适配器CPLD/FPGA实现适配后仿真模型适配后时序仿真适配报告ASIC实现VHDL代码或图形方式输入仿真综合库器件编程文件 图2.2 CPLD/FPGA系统设计流程2.4 FPGA开发编程原理硬件设计需要根据各种性能指标、本钱、开发周期等因素,确定最正确的实现方案,画出系统框图,选择芯片,设计PCB并最终形成样机。CPLD/FPGA软件设计可分为两大块:编程语言和编程工具。编程语言主要有VHDL和Verilog两种硬件描述语言;编程工具主要是两大厂家Altera和Xilinx的集成综合EDA软件QuartusII以及

15、第三方工具。具体的设计输入方式有以下几种:1.HDL语言方式。HDL既可以描述底层设计,也可以描述顶层的设计,但它不容易做到较高的工作速度和芯片利用率。用这种方式描述的工程最后所能到达的性能与设计人员的水平、经验以及综合软件有很大的关系。2.图形方式。可以分为电路原理图描述,状态机描述和波形描述3种形式。电路原理图方式描述比拟直观和高效,对综合软件的要求不高。一般大都使用成熟的IP核和中小规模集成电路所搭成的现成电路,整体放到一片可编程逻辑器件的内部去,其硬件工作速度和芯片利用率很高,但是当工程很大时,该方法就显得有些繁琐;状态机描述主要用来设计基于状态机思想的时序电路。在图形的方式下定义好各

16、个工作状态,然后在各个状态上输入转换条件以及相应的输入输出,最后生成HDL语言描述,送去综合软件综合到可编程逻辑器件的内部。由于状态机到HDL语言有一种标准的对应描述方式,所以这种输入方式最后所能到达的工作速度和芯片利用率主要取决于综合软件;波形描述方式是基于真值表的一种图形输入方式,直接描述输入与输出的波形关系。2.5 QuartusII设计平台2.5.1 软件开发环境及根本流程本设计所用软件主要是QuartusII,在此对它做一些介绍。QuartusII是Altera提供的FPGA/CPLD开发集成环境,Altera是世界上最大的可编程逻辑器件供给商之一。QuartusII提供了一种与结构

17、无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。Altera公司的QuartusII 开发工具人机界面友好、易于使用、性能优良,并自带编译、仿真功能。QuartusII软件完全支持VHDL设计流程,其内部嵌有VHDL逻辑综合器。QuartusII 也可以利用第三方的综合工具,如FPGA Compiler II,并能直接调用这些工具。同样,QuartusII具备仿真功能,同时也支持第三方的仿真工具。此外,QuartusII与MATLAB和DSP Builder结合,可以进行基于FPGA的DSP系统开发,是DSP硬件系统实现的关键EDA技术。QuartusII包括模块化的编译器。

18、编译器包括的功能模块有分析/综合器、适配器、装配器、时序分析器、设计辅助模块、EDA网表文件生成器、编辑数据接口等。可以通过选择Start Compilation来运行所有的编译器模块,也可以通过选择Start单独运行各个模块。在Compiler Tool窗口中,可以翻开该模块的设置文件或报告文件,或者翻开其它相关窗口。QuartusII编译设计主控界面,它显示了QuartusII自动设计的各主要处理环节和设计流程,包括设计输入编辑、设计分析与综合、QuartusII设计流程相对照的标准的EDA开发流程。图2.3 QuartusII设计流程在设计输入之后,QuartusII的编译器将给出设计输

19、入的错误报告。QuartusII拥有性能良好的设计错误定位器,用于确定文本或图形设计中的错误。在进行编译后,可对设计进行时序仿真。在仿真前,需要利用波形编辑器编辑一个波形鼓励文件。编译和仿真检测无误后,便可将下载信息通过QuartusII提供的编程器下载入目标器件中了。6QuartusII图形用户界面的根本设计流程如下:1.使用New Project WizardFile菜单建立新工程并指定目标器件或器件系列。2.使用Text Editor文本编辑器建立 Verilog HDL、VHDL或Altera硬件描述语言AHDL设计。可以使用Block Editor原理图编辑器建立流程图或原理图。流程

20、图中可以包含代表其它设计文件的符号;还可以使用MegaWizard Plug-In Manager生成宏功能模块和IP内核的自定义变量,在设计中将它们实例化。3.可选使用 Assignment Editor、Settings 对话框Assignments 菜单、Floorplan Editor或LogicLock功能指定初始设计的约束条件。4.可选使用SOPC Builder或DSP Builder建立系统级设计。5.可选使用Software Builder为Excalibur器件处理器或Nios嵌入式处理器建立软件和编程文件。6.使用Analysis & Synthesis对设计进行综合。7

21、.可选使用仿真器对设计执行功能仿真。8.使用Fitter对设计执行布局布线。在对源代码进行少量更改之后,还可以使用增量布局布线。9.使用Timing Analyzer对设计进行时序分析。10.使用仿真器对设计进行时序仿真。11.可选使用物理综合、时序底层布局图、LogicLock功能、Settings对话框和 Assignment Editor进行设计优化,实现时序关闭。12.使用Assembler为设计建立编程文件。13.使用编程文件、Programmer 和Altera硬件编程器对器件进行编程;或将编程文件转换为其它文件格式以供嵌入式处理器等其它系统使用。14.可选使用SignalTap

22、II Logic Analyzer、SignalProbe功能或Chip Editor对设计进行调试。15.可选使用Chip Editor、Resource Property Editor和Change Manager进行工程更改管理。2.5.2 具体设计流程首先建立工作库目录,以便存储工程工程设计文件。任何一项设计都是一项工程Project,都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹。此文件夹将被EDA软件默认为工作库。一般来说,不同的设计工程最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。使用New Project Wizard可以为工程指定工作目

23、录、分配工程名称以及指定最高层设计实体的名称,还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA工具,以及目标器件系列和具体器件等。在对工程进行编译处理前,必须做好必要的设置。步骤如下:QuartusII编译器是由一系列处理模块构成的,这些模块负责对设计工程的检错、逻辑综合、结构综合、输出结果的编辑配置,以及时序分析。在这一过程中,将设计工程适配到FPGA目标器中,同时产生多种用途的输出文件。编译器首先检查出工程设计文件中可能的错误信息,供设计者排除。然后产生一个结构化的以网表文件表达的电路原理图文件。如果编译成功,可以见到工程管理窗口左上角显示了工程例如工程div的层次结构和其中

24、结构模块耗用的逻辑宏单元数;在此栏下是编译处理流程,包括数据网表建立、逻辑综合、适配、配置文件装配和时序分析等;最下栏是编译处理信息;中栏式编译报告工程选择菜单,单击其中各项可以详细了解编译与分析结果。工程编译通过后,必须建立VWF文件对其功能和时序性质进行仿真测试,以了解设计结果是否满足原设计要求。7第三章 数字钟总体设计方案3.1 数字钟的构成数字钟实际上是一个对标准频率1HZ进行计数的计数电路。由于计数的起始时间不可能与标准时间如北京时间一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定,通常使用石英晶体振荡器电路构成数字钟。3.2 数字钟的工作原理振荡器产生稳

25、定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1规律计数。计数满后各计数器清零,重新计数。计数器的输出分别经译码器送数码管显示。计时出现误差时,可以用校时电路校时、校分。控制信号由15矩形键盘输入。时基电路可以由石英晶体振荡电路构成,假设晶振频率1MHz,经过6次十分频就可以得到秒脉冲信号。译码显示电路由八段译码器完成。3.3 数字钟硬件电路设计本系统拟采用Altera公司Cyclone系列的EP2C3T144芯片。选用该款芯片的原因是: Altera公司的Quartus II开发环

26、境非常友好、直观,为整个系统的开发提供了极大的方便; 该FPGA片内逻辑资源、IO端口数和RAM容量都足够用,并且价格相对来说比拟廉价,速度快,可以满足要求,且有很大的升级空间。EP2C3T144是Altera公司生产的Cyclone I代、基于1.5V内核,3.3VI/O,0.13um和SRAM的FPGA,容量为2910个LE,拥有13个 M4KRAM4K位+奇偶校验块;除此之外,还集成了许多复杂的功能,提供了全功能的锁相环PLL,用于板级的时钟网络管理和专用I/O口,这些接口用于连接业界标准的外部存储器器件,具有本钱低和使用方便的特点,具有以下特性: 新的可编程架构通过设计实现低本钱; 嵌

27、入式存储资源支持各种存储器应用和数字信号处理器DSP; 采用新的串行置器件如EPCS1的低本钱配置方案; 支持LVTTL、LVCMOS、SSTL-2以及SSTL-3 I/O标准; 支持66MHZ,32位PCI标准; 支持低速311MbpsLVDS I/O; 支持串行总线和网络接口及各种通信协议; 使用PLL管理片内和片外系统时序; 支持外部存储器,包括 DDR SDRAM133MHZ,FCRAM以及 SDR SDRAM; 支持多种IP,包括Altera公司的MegaCore以及其合伙组织的IP,支持最新推出的Nios II嵌入式处理器,具有超凡的性能、低本钱和最完整的一套软件开发工具。EP2C

28、3T144C8引脚图如图3.1所示。图3.1 EP2C3T144C8引脚图2.显示电路设计显示电路所选用4个数码管以动态显示扫描方式完成时、分显示。显示电路原理图如图3.2所示。图3.2 LED数码管显示原理图第四章 单元电路设计本次设计的多功能数字钟具有如下功能:1秒分时的依次显示并正确计数;2定时闹钟:实现整点报时,扬声器发出报时声音;3时间设置,即手动调时功能:当认为时钟不准确时,可以分别对分时进行调整;4.2 总体设计外部输入要求:输入信号有1kHz1Hz时钟信号、低电平有效的调时切换SET、低电平有效的时分秒选择信号SEL、低电平有效的加ADD和减MINUS;外部输出要求:整点报时信

29、号59分51357秒时未1Hz低频声,59分59秒时为1kHz高频声、时十位显示信号、时个位显示信号、分十位显示信号及分个位、秒十位及秒个位;数码管显示位选信号CHOOSE7.0等八个信号。4.2.2内部各功能模块: 1分频模块:整点报时用的1kH与1Hz的脉冲信号,这里的输入信号是50MHz信号,所以需要一个50分频和一个1000分频;时间基准采用1Hz输入信号,那么再用1000分频将1KHz分频成1Hz。 2秒计数模块SECOND:60进制,带有加减调节功能的,输入为1Hz脉冲和低电平有效的使能信号及加减信号,输出秒个位、十位及进位信号S-LINK-M。 3分计数模块MINUTE60进制,带有进位S-LINK-M和加减调节功能的,输入为1Hz脉冲和低电平有效的使能信号及加减信号,输出分个位、十位及进位信号M-LINK-H。4时计数模块HOUR:24进制,带有进位M-LINK-H和加减调节功能的

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1