ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:192.24KB ,
资源ID:21850519      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/21850519.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(verilog八位十进制计数器实验报告附源代码Word文档下载推荐.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

verilog八位十进制计数器实验报告附源代码Word文档下载推荐.docx

1、 由于要求实现数码管和LED灯的显示,先考虑LED灯,可以直接由8位输出信号控制,而数码管需要同时显示两个不同的数字,需要时分复用,即快速的交替显示十位和个位,利用人眼的视觉暂留来达到同时显示。这样就需要两种不同的频率信号。一种是每0.5s一次,作为计数信号,用脉冲生成器生成,另一种是1ms一次的扫描信号,用降频器生成,将计数信号输入计数器来计数,并将计数的值和扫描信号同时输入扫描显示模块。在扫描显示模块里用一个变量值在0和1间交替来指导选择信号选择数码管的不位数。交替的条件是收到扫描信号。7段数码管和LED灯都与计数值的变量相连即可实现。实现细节1.首先写一个脉冲生成器(div.v),每0.

2、5s输出一次计数脉冲cnt2.写一个计数器(cnt.v)设置一个8位计数变量,分成两个4位变量dnum(十位)和num (个位)。如果接受到rst信号,则将计数变量置成x90.否则每次接受到计数信号,将计数变量的值增1,(同时考虑进位和回到x00的情况)3.写一个扫描信号生成器(scan.v),每1ms生成一次扫描信号4.写一个显示器(display.v),设置对数码管位数的4位选择信号sel和led灯的控制变量dnum(高4位)和num(低四位)。设置seg作为7段数码管的控制变量。设置一个中间变量a(初值0),如果接受到scan信号,将a 0变1或1变0.如果a为0,sel为x1101,显

3、示数码管十位,如果a为1,sel为x1110,显示数码管个位。5.以上各个模块均由时钟信号控制。6.写一个top模块综合以上模块。附录(源代码):Div.v模块:module div( input clk, input rst, output reg cnt ); reg 25:0 cnt_div; always(posedge clk or posedge rst) begin if(rst) cnt_div=26b0; else if(cnt_div=26d49_999_999) else=cnt_div+26b1; endalways(posedge clk or posedge rst

4、)begin if(rst) cnt=1 else if(cnt_div=26 elseendendmodulecnt.v模块:module cnt( input WE, input cnt, output reg 3:0 dnum,0 numalways(posedge clk) begin dnum=4h9; numh0; end else if(WE & cnt) if(num=4h9) num if(dnum=4 dnum=dnum+4h1; else=num+4scan.v模块:module scan( output reg scan_sgnreg 16:0 scan_cnt;ini

5、tial scan_sgn=0;initial scan_cnt=0; if(scan_cnt=17d99_999) scan_cnt=17d0;=scan_cnt+17 scan_sgndisplay.v模块:module display( input scan_sgn, input 3:0 num, output reg 7:0 seg,0 sel reg a=0;/initial a =0;always(posedge scan_sgn) if(a=1b0) a=1 sel=4b1101; case(dnum) 4h0: seg=8b0000_0011;h1: b1001_1111;h2

6、:b0010_0101;h3:b0000_1101;h4:b1001_1001;h5:b0100_1001;h6:b0100_0001;h7:b0001_1111;h8:b0000_0001; default:b0000_1001; endcaseb1110; case(num) endcase top模块:module top( output 7: output 3:0 sel, wire 3:0 dnum;0 num; wire cnt; wire scan_sgn;div u_div(.clk (clk ),.rst (rst ),.cnt (cnt );cnt u_cnt(.WE (W

7、E ),.cnt (cnt ),.dnum (dnum ),.num (num )scan u_scan(.scan_sgn (scan_sgn)display u_display(.sel (sel ),.seg (seg ),.num (num ),ucf文件:Net seg LOC = T17;6 LOC = T18;5 LOC = U17 ;4 LOC = U18 ;3 LOC = M14 ;2 LOC = N14;1 LOC = L14;0 LOC = M13;sel LOC = N16; LOC = N15; LOC = P18; LOC = P17;NET WE LOC=T9;r

8、st LOC=T10;clk LOC=V10;num LOC = U16; LOC = V16; LOC = U15; LOC = V15;dnum LOC = M11; LOC = N11; LOC = R11; LOC = T11;仿真代码:module test5; / Inputs reg clk; reg rst; reg WE; / Outputs wire 7:0 seg; wire 3:0 sel; / Instantiate the Unit Under Test (UUT) top uut ( .clk(clk), .rst(rst), .WE(WE), .seg(seg)

9、, .sel(sel), .dnum(dnum), .num(num)initial begin clk = 0; #100; WE = 1; rst = 1; #10; rst=0; end always #1 clk=clk;対本实验的总结和体会;1、要仿真正确是烧写的前提,先仿真正确再烧写2、要给每个模块定义的变量一个initial语句,否则在仿真中会出现变量的值未定义的xxxx的情形3、实验时仿真一直出现的一个问题是没有写initial语句,导致各个模块的中间变量没有初值,而很多输出变量的变化条件都是根据中间变量的上升沿河下降沿来触发的,这样中间变量即使有值也不会产生电平变化,导致仿真时输出没有变化(虽然烧写到板子上没有问题)4、理解了仿真的原理是将整个project当成一个黑匣子,在isim的仿真程序中需要写语句模拟整个project的输入信号比如clk(用forever语句),rst和WE变量(在程序中赋值)5、为了能使仿真时各个变量异步的变化,比如在时钟变化的过程中使rst等其他输入变量变化,但是initial语句又是顺序执行的,此时可以写多个initial语句来并行得是变量变化。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1