ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:335.63KB ,
资源ID:21736325      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/21736325.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程设计论文 24制数字钟数字钟设计Word格式文档下载.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程设计论文 24制数字钟数字钟设计Word格式文档下载.docx

1、2. 正文32.1设计任务及要求32.2方案选择与论证32.3方案的原理框图及其说明42.4硬件选择42.5系统设计详述42.6系统仿真及分析 62.7下载测试及分析92.8收获体会、存在问题和进一步的改进意见等103.参考文献10VHDL语言实现数字电子钟的设计 作者:xxx 指导老师:xxx (xx大学xxxx学院 xxx xxxx)摘要:随着基于PLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。本文详细介绍EDA课程设计任务数字钟的设计的详细设计过程及结果,并总结出心得体会。关键字:EDA技术;VHDL语言;数字钟ED

2、A技术作为现代电子设计技术的核心,它依赖强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑简化、逻辑分割、逻辑综合,以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。笔者详细介绍在QUARTUS II软件环境下开发基于VHDL语言数字钟的设计。1.设计任务及要求1、设计内容选用合适的可编程逻辑器件及外围电子元器件,设计一个数字电子钟,利用EDA软件(QUARTUS )进行编译及仿真,设计输入可采用VHDL硬件描述语言输入法和原理图输入法,并下载到EDA实验开发系统,连接外围电路,完成实际测试。2、设计要求(1)具有时、分

3、、秒计数显示功能。(2)具有清零的功能,且能够对计时系统的小时、分钟进行调整。(3)小时为十二小时制。2.方案选择与论证数字系统的设计采用自顶向下、由粗到细, 逐步分解的设计方法, 最顶层电路是指系统的整体要求, 最下层是具体的逻辑电路的实现。自顶向下的设计方法将一个复杂的系统逐渐分解成若干功能模块, 从而进行设计描述, 并且应用EDA 软件平台自动完成各功能模块的逻辑综合与优化, 门级电路的布局, 再下载到硬件中实现设计。因此对于数字钟来说首先是时分秒的计数功能,然后能显示,附带功能是清零、调整时分。通过参考EDA课程设计指导书,有以下方案:1. 作为顶层文件有输入端口:时钟信号,清零按键,

4、调时按键,调分按键;输出端口有:用于接数码管的八段码输出口,扫描用于显示的六个数码管的输出口。2. 底层文件分为:时间计数模块。分秒计数模块计数为60计数,时计数模块为12计数。显示模块。显示模块由一个六进制计数器模块和一个七段译码器组成。六进制计数器为六选一选择器的选择判断端提供输入信号, 六选一选择器的选择输出端分别接秒个位、秒十位、分个位、分十位和时个位、时十位的选通位用来完成动态扫描显示,同时依次输出秒个位、秒十位、分个位、分十位和时个位、时十位数向给译码模块。报警模块(为指导书自带)。当时间到整点时就报时。输入有时分秒计数,时钟脉冲。3方案的原理框图及其说明 以上为方案原理图,秒计数

5、、分计数模块为60计数,计满后分别产生分脉冲、时脉冲,用于分计数、时计数。各计数器同时将计数值送报时模块和送数及六选一选择器模块。送数及六选一选择器模块依次将秒分时数送往译码模块译码,同时产生扫描信号用于数码管扫描显示。整点报警在整点时刻将秒脉冲信号送扬声器声音报警。4.硬件选择本次选用cyclone系列EP1C3T144C8芯片。外部需接2个不同频率的时钟信号,及几个上升沿按键,并接扬声器和不许译码器的数码管。以上均由EDA试验箱提供。5系统设计详述 整个系统的构成如下图所示。其中输入端口有:clk1用于送数及六选一选择器和整点报警的时序脉冲;Stop用于整点报时的停止控制;clk作为秒脉冲

6、和整点报警的信声音号;reset用于各个模块复位;setmin调整分钟,接按键;sethour调整小时,接按键;输出端口: speak接扬声器,用于报时; led接数码管,送字型码; dp接数码管的小数点为,隔开时分秒位; sel位选扫描信号输出口,接数码管位选接口; Second模块为秒计数模块。Clk作为秒脉冲,reset复位,setmin用于调整分钟,接按键,enmin是当秒计数记到59后产生分脉冲,秒计数重新从0开始计数。Daout为秒计数。秒计数模块分计数模块Minute模块为分计数模块。Clk作为分脉冲,接second模块的enmin,reset用于复位,sethour用于调整小时

7、,接按键,enhour是当分计数记到59后产生时脉冲,分计数重新从0开始计数。Daout为分计数。 Hour模块为时计数模块,clk为时脉冲,接minute模块的enhour,reset复位,daout为时计数。时计数模块 Settime模块为送数及六选一选择器,clk作为其时序脉冲,reset用于复位,sec接second模块的daout端,秒计数输入端;min接minute模块的daout端,分计数输入端,hour接hour模块的daout端,时计数的输入端。Daout用于分别输出秒个位,秒十位,分个位,分十位,时个位,时十位,送到deled译码;sel输出扫描信号,六选一多路选择,dp输

8、出小数位给数码管小数位,用于隔开时分秒位。报时模块 Alert模块为整点报时模块。其中clk1用于在其上升沿判断是否为整点时刻,若是则加clk秒脉冲从speak端口输出到扬声器作为声音信号,报时10秒。Stop为停止报时控制,接按键,按动按键时若在报时则报时停止。Reset复位端。dainsh和dianm分别接分计数和秒计数,用于判断整点和报时。送数及六选一选择器译码模块 Deled用于译码显示。Num用于接收settime模块daout端送来的数,经译码生成七段码从led输出接数码管的七段码输入口。6系统仿真及分析1.各模块仿真及分析。Second模块 从仿真图形上来看,daout从0加到5

9、9后enmin有进位,setmin有脉冲时,enmin也会出现脉冲,说明秒计数,分调整,分脉冲都是正确的。minute模块从仿真图形上来看,daout从0加到59后enhour有进位,说明分计数,时脉冲都是正确的。hour模块 从仿真图看,daout从0加到B即0到11后重新从0开始加,说明十二小时计数正确。settime模块 从仿真波形看,sec、min、hour分别设置数为09、08、1时,daout也依次输出0、9、0、8、0、1,将时分秒的每一位输出,同时sel输出正确扫描信号,dp小数点输出也正确。alert模块 从仿真波形来看,当stop=0和damin=0时,可看出speak有脉

10、冲输出,stop=1停止。说明报时是正确的。deled模块 从仿真波形来看,num输入09,而led分别输出相应正确字型码。7.下载测试及分析 下载测试后的效果如下图所示,按复位键后数码管显示0时0分0秒开始计数,分秒时计数都正确。按动调分键或调小时键后,分位或小时位开始自加,再按键后停止。当时间到整点时会有十秒报时,按动停止键停止报时,不按此键时自动到十秒后停止报时。设计结果达到要求。8.收获体会、存在问题和进一步的改进意见等。 此次设计虽然指导书上有参考程序,但经本组同学仿真后仿真波形与理论值差别很大,因而遇到很大困难,使进度大大减慢。“梅花香自苦寒来,宝剑锋从磨砺出”,经过本组同学齐心协

11、力,我们从程序发现分秒时计数上出了问题。参考程序分秒时计数采用十六进制表示,即用BCD码来表示计数值,便于后面译码输出。但不足是在计数时,加一运算时按十六进制来计算,本来控制加到59或11(均采用BCD码表示),实际上计数超过60或12.,是问题根本所在。认识到这一方面,本人通过将所有计数值数据类型改为整型,并在其它方面做了较大改进。经过全组成员努力和老师的认真指导,多次仿真结果正确,硬件测试显示正确。报时模块起先报时时间不准,经最后修改也正确报时。这次设计圆满完成任务。从这次EDA设计中,可以看我们的动手能力还有待提高。另一方面,我们更加对EDA从实践上更有深刻认识。从实践中发现问题,分析问题,解决问题在这次设计中很大的体现出来,提高了我们的能力和自信。同时,成功与团队合作十分不开的。参考文献1 潘松, 黄继业. EDA 技术实用教程M 第2版 北京: 科学出版社, 20062 曹昕燕 ,周凤臣,聂春燕.EDA技术实验与课程设计 北京:清华大学出版社,2006.5

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1