ImageVerifierCode 换一换
格式:DOCX , 页数:85 ,大小:91.70KB ,
资源ID:21494694      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/21494694.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(电子电工英语词汇Word格式文档下载.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

电子电工英语词汇Word格式文档下载.docx

1、39:57 来源:ab126 作者:abrasive 研磨料;磨蚀剂 abrasive cloth 金刚砂布 abrasive disc 金刚砂研磨盘 abrasive grains 研磨粒 abrasive paper 金刚砂纸 Abrupt junction 陡接面 absolute gap 绝对能隙 AC mains 交流电源 AC parametric test/AC test AC 参数试验/交流测试 ac SUPERPOSITION 交流重迭原理 AC test 交流测试 AC/DC Electronic Load 交/直流 电子负载 AC/DC Power Supply 交/直流

2、 电源供应器 acceleration 加速器 acceleration factor 加速因子 acceleration radiation 加速辐射 acceleration tube 加速管 acceleration voltage 加速电压 accent lighting 重点照明 acceptable wafer size 适用晶圆尺寸 acceptance testing 验收测试 Acceptor 受体 Access speed 存取速度 Access time 存取时间 accommodation 调适 accumulate/accumulation 累积加算 activate

3、d species 活性种 Active card 主动式卡 Active component 主动组件 active damper 有源阻尼器 Active load 主动式负载 Active region 作用区 actual wafer thickness 晶圆实际厚度 adaptation 顺应 adaptive controller/automatic thickness controller 自适控制器/自动厚度控制器 adaptive Z function Z轴上承载压自动调整功能 add/drop Multiplexer 增加/删除多任务器 add/drop multiplex

4、ing(ADM)投落多任务 address multiplex function 地址多任务功能 address scramble function 地址打散功能 adhesion promoter coat/vapor primer 黏着力促进膜涂敷/汽相底层 advanced global alignment 进步型全晶圆调准 after cure/post cure 二次熟化/二次硬化 after glow Microwave plasma enhanced CVD system 隔离行微波等离子体增强型CVD系统 after-corrosion 后端腐蚀 air breakdown 空

5、气绝缘破坏 Air Ejector 抽气器 air pressure type 气压式 air purifier 空气清净机 air seal type clean draft chamber 密封式洁净通风室 air tension 空气张力 air trimmer capacitor 空气修整电容器 air variable capacitor 空气可变电容器 air vent 排气口 algorithm controller 算法控制器 algorithmic pattern generator 算法图案产生器 aligner for large liquid crystal displ

6、ay substrate 大型液晶显示基板对准曝光器 alignment 定向 alignment accuracy 位置对准精确度 alignment mark 对准标记 alignment offset 对准偏移 alignment scope 对准用双眼显微镜 alignment stage 调准夹片台 alkaline cell 碱性电池 alkalinity(PH4.8)含碱量(PH4.8)All pass filter 全通滤波器 allowed head load 容许发热负载 Alternating Current,AC 交流电 alternating-current oil

7、capacitor 交流油质电容器 aluminium heatsink 铝制散热片 aluminum electrolytic capacitor 铝质电解电容器 ambient lighting 周围照明 Ambient temperature 周围温度范围 Ammeter 安培计 amorphous Si 非晶性硅 amount of oxygen precipitation 析出氧气量 Ampere 安培 Amplifier 放大器 ampoule tube 密闭瓶管 analog 模拟式 Analog circuits 模拟电路 Analog IC 模拟 IC Analog mult

8、iplication 模拟乘法器 Analog signal 模拟信号 analog test system 模拟测试系统 Analog to Digatal Converter(ADC)模数转换器 Analog voltage 模拟电压 analog-digital mixed mode simulator 模拟/数字混合型仿真器 Analysis 分析工具 analyzing chamber 分析管腔 analyzing magnet 分析磁铁 AND Gate 与门 angle-resolved Auger electron spectroscopy 角度分解奥格电子光谱学 ANI 自动

9、号码辨识 anisotropic etching 各向异性蚀刻,非等向性蚀刻 anneal 退火处理 Annealing 退火热处理 annealing chamber 退火处理室 annealing temperature 退火处理温度 annealing uniformity 退火处理之均质性 Anode 阳极 anode coupling 阳极耦合 antenna ratio 天线比 anti reflection coating 防反射涂膜 Antialiasing filter 反假像滤波器 anylyzing magnet 分析磁铁 Aperture 光圈 application

10、box 操做箱 application specific IC(ASIC)特殊应用 IC application specific standard produce 特殊应用标准产品 arc chamber 电弧室,电弧腔 arc lamp annealer 弧光灯退火处理机 Area Array 面矩阵式 area defect test 面积缺陷试验 Arrhenius graph/Arrhenius plot 阿列尼厄图表 artificial abrasive 人造磨消料 artwork 工艺图 as-cut wafer 原切割晶圆 ASIC 特殊应用 IC ASIC micro co

11、mputer 微电脑 ASIC tester 特殊应用集成电路(ASIC)测试器 ASIC verification system ASIC 验证系统 aspect ratio 纵横尺寸比 assembly line 组装线;装配线 asynchronous design 异步设计 AT speed test 时间延迟测试 ATM 高速分封交换网络 atmosphere pressure method 大气压法 atmospheric pressure CVD system 大气压 CVD系统 atmospheric pressure vapor phase epitaxial growth

12、system 大气压汽相磊晶生长系统 atmospheric presure vapor phase epitaxial growth system 大气压汽相磊晶生长系统 atmospheric thermal oxidation furnace 大气压热氧化炉 atomic bonding 原子键 atomic force microscope 原子间力显微镜 Atomic Force Microscope,AFM 原子力显微镜 atomic layer epitaxial growth system 原子层磊晶生长系统 Atomic Number 原子序 Atomic weight 原子

13、量 ATOMS 原子 attenuate 减光 Audio 音频信号 Audio amplifier 声频放大器 audio book 有声书 Audio Frequency(AF)声频 audio signal measurement 声频信号测试 Audion 三极管 Auger electron appearance spectroscopy 奥格电子出现电位光谱学 Auger electron spectroscopy 奥格电子光谱学 auto placement and routing 自动配置与配线 Auto Record Level Control 自动录音电平控制 autoha

14、ndler 自动程序处理机 automatic calibration function 自动校正功能 automatic chemical diluting and mixing equipment 药品自动稀释混合设备 automatic cut-off 自动切断/截止 automatic damper/exhaust for coater 自动风门/涂料器排气 automatic diameter control system 自动直径控制器 automatic exhaust air mechanism 自动排热机构 automatic fire extinguisher 自动灭火机

15、automatic flatness controller 自动平面度控制器 Automatic gain control(AGC)自动增益控制 automatic melt level control system 自动融液面控制器 automatic pressure contol 自动压力控制 automatic probe to pad alignment function 自动探针对准衬垫功能 automatic single wafer polishing machine 自动单晶圆抛光机 automatic sizing device 自动定尺寸装置 automatic temp

16、erature controller 自动温度控制器 automatic test equipment 自动测试装置 automatic test pattern generation 自动产生测试图案 automatic test program generator 自动测试程序产生器 Automatic Voltage Regulator AVR 交流稳压器(SCR 电子式)Automatic volume control 自动声音控制 automatically cutter set 自动切割机设定 automatically set-up 自动调定 Auxiliary Cooling

17、Water Pump 辅助冷却水泵 Auxiliary Lube Oil Pump 辅助润滑油泵 AV Receiver 影音接收机 AV Remote Commander 影音遥控器 availability 利用度,利用率 Avalanche Breakdown 累增崩溃 Avalanche Diode 雪崩式二极管 average luminance,of a luminaire 灯具平均亮度 average luminance,of a surface 表面平均亮度 Average power 平均功率 avionicsaviation electronics 航空电子学 电子电工英文

18、词汇翻译 b 时间:43:16 来源:back and design 后端设计 back annotation 背面批注 back EMF(electromotive force)反电动势;反(方)向电动势 back rack 背后接线架 back side damage 背侧损伤 back side reference method 背侧基准法 back surface luster 背面光泽度 backing pad 衬垫 backing plate 支撑板 backside rinse 背面冲洗 baffle 遮蔽体 baking temperature uniformity 烘烤温度均

19、质性 baking unit oven 烘烤炉 Balance 平衡 Balanced modulator 平均调变器 ball bonding 球形接合,球形压接 ball grid array 球状栅极数组封装体 ball shape 球形,球状 ball shear strength 球部抗切强度 ball shear tester 球状压接端切变强度测试机 ball size 球头尺寸,球形大小 ballast 安定器 band blade 条带刀片 band gap 能隙 band saw 条带锯 Band-Pass 带通 Band-reject 带拒 Bandstop filter

20、 带拒滤波器 Bandwidth 频带宽度 bank controller 触排控制器 bar graph(bargraph)长条图;柱形图 Bare Chip 裸晶封装 Barkhausen crieterion 巴克豪生准则 barrel asher 圆筒型灰化机 barrel type plasma etching system 圆筒型等离子体蚀刻系统 Barrier 障碍 barrier potential 障壁电压 Base 基极 BASE BIAS 基极偏压 base line 基线 Bass 低音 Bass Reflex Enclosure 低音反射隔间 batch proces

21、sing 分批处理 batch wafer retrieval 晶圆片回批取出 batch-to-batch dose uniformity 批次间之注入均质性 bath to bath transport time 槽间输送时间 bath with filter for circulation solution 循环过滤洗条槽 Battery 电瓶 battery backup 电池备用/后备 battery load levelling 电池负载调整 beam angle 光束角 beam current 波束电流 beam diameter 光束直径 beam energy 波束能量 b

22、eam filter 波束滤波器 beam focusing system 波束聚焦系统 beam positioner 光束定位器 beam positioning accuracy 光束定位精确度 beam stability 波束安定性 Beam-lead isolation 联机支柱隔离 behavioral description language 性能记述语言 behavioral schematic editor 性能简图编辑器 behavioral simulator 性能仿真器 behavioral synthesis/behavioral synthesizer 性能合成/

23、性能合成器 belljar 钟罩型反应器 bellows pump 风箱泵 beltless transfer system 无带式输送系统 Bernoulli chuck 伯努利吸盘 best fit plan reference 最妥适平面基准 best fit plane reference 最妥适平面基准 bevel 斜角;截成斜角 bevel cut 斜角切割 BGA Ball Grid Array 球门阵列封装 Biamping 双放大 bias 偏压 bias sputtering system 偏压溅镀系统 BiCMOS 双载子互补式金氧半导体制程 bin 测试结果之分门类别

24、binary decision diagram 双择判定图 binary pulse 二元脉冲 binary scale pattern recognition 二值标度图案识别 binary scan 二进扫描 binary search 二次搜索 Bipolar 双极性 Bipolar-Junction transistor(BJT)双极接面晶体管 Bistable 双稳态 Bistable circuit 双稳器 Bistable filter 双稳态滤波器 Bistable multivibrator 双稳态多谐振荡器 Bit 位 bit defect test 点缺陷试验 bit e

25、rror rate test 位错误率试验 bitmap image 比点阵影像 bits per second(BPS)每秒位数 Biwiring 双接线 BJT Bipolar Junction Transistor 双载子连接晶体管 blade 刀片 blade breakage detection device 刀片破损检测装置 blade deflection 刀片偏差 blade deflection sensor 刀片挠曲敢测器 blade exposure 刀刃曝露量 blade height calibration 刀片高度校准 blade retension 刀片再加张力

26、blade tension 刀片张力 blade tensioning frame 刀片张力框架 blade wear compensation 刀片摩损补偿 block 方块,部分组件单元 block cut 方块切割 block diagram 区块图;方块图 blooming test 图像浮散试验 Blow off Valve 泄放阀 blue shift 蓝位移 BNC-Banana 仪表信号转换插头 board insert check function 接线板插入检查功能 board level simulation 基板位阶模拟 board to board connector

27、 板对板连接器 Boat 晶舟 boat elevator 晶舟升降机 boat handler 晶舟处理机 boat lift travel 晶舟移动行程 boat loader 晶舟搭载机 boat transfer 晶舟输送器 Bode plot 波德图 boding parameter 焊接参数 body 直躯部;晶锭躯体 Boltzmanns Constant 波兹曼常数 bond 黏合剂;结合剂 bonded abrasive 黏合研磨剂 bonding accuracy 焊接精确度 bonding force 结合力,焊线强度 bonding head 压接头 Bonding i

28、sland 供接线用之转接岛 bonding length 接合长度 Bonding pad 供接线用之转接片 bonding silicon on insulator wafer 硅绝缘体(SOI)接合晶圆 bonding speed 焊接速度,接合速度 bonding wire 焊接线,压接线 Boost converter 降压升压转换器 bottom 底部 bottom-up design 由下而上之设计 boundary scan test 边界绍扫描测试 bow 弯曲 bowing trench 沟壁内凹 bowl rinse 碗盘冲洗 bowl temperature and h

29、umidity control 碗盘温度与湿度控制 break detector 破碎探测器 Breakdown Voltage 崩溃电压 Bridge 桥式 Bridging 桥接 Bright etching 光亮蚀刻 brightness 亮度 bring etching 光亮蚀刻 brush scrubber 刷子擦洗机 brushing 刷洗 brushing machine 刷子清除机 bubble leak tester 漏泄气泡测试器 buff 抛光用软皮 Buffer 缓冲器 building block 建构区块;晶胞 Built-in potential 内建电位 bui

30、lt-in self test 内建自我测试 Bulk 表体,基体 bulk defects 表体缺陷 Bump 凸块 bur-in controller 老化测试控制器 Buried alyer 埋层 burn-in board 老化测试基板 burn-in board checker 老化测试检验器 burn-in board ejector 老化测试基板拔除器 burn-in board inserter 老化测试板插入器 burn-in cable tray 老化测试电缆架 burn-in chamber 老化测试恒温槽 burn-in rack 老化测试架 burn-in stres

31、s function 老化测试应力施加功能 burn-in system 老化测试系统 burn-in timer 老化测试定时器 burn-in tracking 老化测试追踪 burning 燃烧 burr/flash/bleed 毛头/(塑模)溢料/残渣 buy-off 验收 by-pass capacitor relay driver 旁路电容器中继驱动器 Bypass Capacitor 旁路电容 电子电工英文词汇翻译 c 时间:51:26 来源:Cabinet 计算机机柜、机箱 零件箱 CAD framework initiative(CFI)发起 CAD架构活动 CAD navi

32、gation CAD导航 calibration board 校准基板 camcorder 摄录像机 camera-tool offset 摄影机-压接工具轴心偏移 candela,cd 新烛光 candle power 烛光功率 candle power distribution curve 光度配光曲线 Cantilever 悬臂支撑架 Capacitance 电容 capacitive coupled plasma en-hanced CVD system 电容性耦合等离子体增强CVD系统 Capacitive Reactance 容抗 Capacitive Reactance(Xc)电

33、容抗 capacitive sensing method 电容性传感法 Capacitor 电容器 capillary drying equipment 毛细管作用干燥设备 capillary tool 毛细管压接工具 capture range of pattern recognition 图案识别之捕捉范围 Carbon Composition Resistor 固定体电阻器,碳素混合体电阻器 Carbon Film Resistor 碳素皮膜电阻器 carbon filter/activated carbon filter 活性碳过滤器 Carbon NanoTube-Field Emission D

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1