ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:102.51KB ,
资源ID:2077214      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/2077214.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA设计报告数字秒表设计.docx)为本站会员(b****2)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA设计报告数字秒表设计.docx

1、EDA设计报告数字秒表设计 数字秒表1.设计任务与要求 1.1、设计出的是一个能够精确反映计时时间,且具有复位、计时、暂停功能的数字秒表,老师要求是计下的时间存储下来并能以后调出显示出来。 1.2、设计的秒表输入脉冲为3MHz,秒表的最大计时范围为1h,精确度为0.01s,其度量单位有0.1s、1s、1min等档位,并且各档位可实现进位。2.方案设计及主要技术思路 2.1、方案一:用LED灯来显示计数结果。 将系统分为四个模块,分别为:顶层模块程序、3MHz-100Hz分频模块、十进制计数器模块、六进制计数器模块。 顶层模块:由于十进制计数模块和六进制计数模块会产生计数溢出信号,而这些溢出信号

2、有可能作为下一计数模块的时钟输入脉冲,因此需要使用一个顶层模块将这些基本模块通过元件调用与映射的关系进行有机结合。 分频模块:就是实现3MHz到100Hz的分频,实体定义3MHz时钟频率输入端和100Hz的时钟脉冲输出端。 十进制计数器模块:用来实现0.01s、0.1s、1s和1min单位单元的计数。 六进制计数器模块:用来实现10s、10min为单位单元的计数。2.2、方案二:用数码管来显示计数结果。 将系统分为六个模块,分别为:3MHz-100Hz分频模块、48MHz-1KHz分频模块、十进制计数模块、六进制计数模块、锁存模块、数码管显示模块。 3MHz-100Hz分频模块:由于系统的精度

3、是0.01s,因此要设置周期为100Hz的时钟脉冲。又因为数字秒表输入的脉冲为3MHz,所以外界每输入3000Hz的脉冲系统就输出一个频率,这时频率的时间周期刚好为0.01s,还有就是实现48MHz1KHz的功能给显示模块时钟信号的,而分频模块就是完成这样的功能。 48MHz1KHz分频模块:用于数码管显示的分频,使计数显示更清晰、更准确。 十进制计数器模块:用来实现0.01s、0.1s、1s和1min单位单元的计数。 六进制计数器模块:用来实现10s、10min为单位单元的计数。 锁存模块:用来实现计数的锁存,功能实现就是实现计数的暂停。 数码管显示模块:用数码管来精确显示计数结果。2.3、

4、优劣分析及方案选定 LED灯显示是以二进制的形式显示出来的,而数码管则直接显示数字,所以数码管显示来的更直观,再一个方案一涉及到元件例化语句,各分模块要实现元件调用和映射。由于本人对元件例化语句不熟,所以方案一不考虑。所以我选用方案二来完成本设计。2.4 采用的硬件平台及主要技术本设计采用的硬件平台是编程片上系统,简称SOPC。主要技术有以处理器和实时多任务操作系统(RTOS)为中心的软件中心技术、以PCB和信号完整性分析为基础的高速电路设计技术。3模块设计过程 3.1、3MHz-100Hz分频模块的设计 实现3MHz100Hz的分频,模块图 程序代码:library ieee;use iee

5、e.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity szmb3 isport(clk_3m:in std_logic; clk_100:out std_logic);-定义输入输出端口,输入为3MHz,输出为100Hzend szmb3;architecture one of szmb3 issignal clk_100_r:std_logic;signal count:integer range 0 to 10#15000#;-用于计数beginprocess(clk_3m) begin if clk_3mevent and

6、 clk_3m=1 then -上升沿 if count=10#15000# then count=0;clk_100_r=not clk_100_r;-当count=10#1500#时,本身取反,输出为零 else count=count+1;-计数加一 end if; end if;end process;clk_1001KHz分频模块的设计实现48MHz1KHz的分频,模块图程序代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity fp1 is port(clk_48m: in

7、 std_logic; clk_1k: out std_logic );-定义输入输出端口end fp1;architecture behav of fp1 is signal clk_1k_r: std_logic; signal count : std_logic_vector(14 downto 0); -用于计数 begin process (clk_48m)begin if clk_48mevent and clk_48m=1 then if count=110000000000000 then count0);-当count=110000000000000时取反 clk_1k_r=

8、not clk_1k_r; else count=count+1;-否则的话计数加一 end if; end if;end process;clk_1k=clk_1k_r;end behav;3.3、十进制计数模块的设计 用来实现0.01s、0.1s、1s和1min单位单元的计数。模块图程序代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity szmb2 isport(clk,rst:in std_logic; cq:out std_logic_vector(3 downto 0);

9、 cout:out std_logic);-定义输入输出端口end szmb2;architecture one of szmb2 issignal cq1:std_logic_vector(3 downto 0);signal cout1:std_logic;-定义计数beginprocess(clk,rst)begin if rst=1 then cq1=0000;cout1=0;-复位清零 elsif clkevent and clk=1 then if cq11001 then cq1=cq1+1;cout1=0;-上升沿时,若cq1小于9的话,自身加一,不进位 else cq1=00

10、00;cout1=1;-否则的话,cq1为零,计数进位加一 end if; end if;end process;cq=cq1;cout=cout1;end one;3.4、六进制计数模块的设计 用来实现10s、10min为单位单元的计数。模块图程序代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity szmb1 isport(clk,rst:in std_logic; cq:out std_logic_vector(3 downto 0); cout:out std_logic);

11、-定义输入输出端口end szmb1;architecture one of szmb1 issignal cq1:std_logic_vector(3 downto 0);signal cout1:std_logic;-用于计数beginprocess(clk,rst)begin if rst=1 then cq1=0000;cout1=0;-复位清零 elsif clkevent and clk=1 then if cq10101 then cq1=cq1+1;cout1=0;-若有上升沿,且cq1小于5的话,本身加一,计数为零 else cq1=0000;cout1=1;-否则的话,cq

12、1为零,计数进位加一 end if; end if;end process;cq=cq1;cout=cout1;end one; 3.5、锁存模块的设计用来实现计数的锁存,功能实现就是实现计数的暂停。模块图程序代码:library ieee;use ieee.std_logic_1164.all;entity scq is port(gate:in std_logic; d:in std_logic_vector(23 downto 0); data:out std_logic_vector(23 downto 0);-定义输入输出端口end;architecture two of scq i

13、ssignal data1:std_logic_vector(23 downto 0);beginprocess(gate,d)beginif gate=1 then data1=d; -若门信号有脉冲,则门打开,d信号赋给data1end if;end process; data=data1;end two; 3.6、数码管显示模块的设计用数码管来精确显示计数结果。模块图程序代码:LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_Arith.ALL;USE IEEE.STD_LOGIC_Unsigned.ALL;ENT

14、ITY szmb4 ISPORT(clk_1: IN STD_LOGIC;data: IN STD_LOGIC_VECTOR(23 DOWNTO 0);dig: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); seg: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );-定义输入输出端口END ENTITY;ARCHITECTURE one OF szmb4 ISSIGNAL seg_r: STD_LOGIC_VECTOR(7 DOWNTO 0);-用于缓存对当前BCD码译码的结果SIGNAL dig_r: STD_LOGIC_VECTOR(7 DOWNTO 0); -用于缓存位码信息SIGNAL disp_dat: STD_LOGIC_VECTOR(3 DOWNTO 0); -缓存待显示的BCD码 SIGNAL count: STD_LOGIC_VECTOR(2 DOWNTO 0); -用于计数BEGINdig=dig_r;seg=seg_r;PROCESS(clk_1)BEGIN IF RISING_EDGE(clk_1) THEN count=count+1; -上升沿来时,计数加一 END IF;END PROCESS;PROCESS

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1