ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:166.86KB ,
资源ID:20624970      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/20624970.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA数字秒表设计Word文档格式.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA数字秒表设计Word文档格式.docx

1、(4) 分频器 9(5) 控制器 10(6) 译码器 113. 系统仿真 12(1) 六进制计数器 12 (2) 十进制计数器 13(3) 蜂鸣器 13 (4) 分频器 13(5) 控制器 13(6) 译码器 13四、 实验心得 14五、 参考资料 14一、综合实践的目的1. 了解各种器件的基本结构,掌握MAX+PLUSII的使用方法。2. 用图形输入法和VHDL语言完成完成一个数字系统设计题的设计、仿真、下载。3通过课程设计使我们能熟练掌握MAX+PLUSII的使用方法,能熟练进行设计输入、编译、管脚分配、下载等过程。4. 培养我们分析、寻找和排除电子电路中常见故障的能力。二、综合实践理论基

2、础和核心内容1.理论基础使用VHDL语言描述一个秒表电路,利用MAX+PLUSII软件进行源程序设计、编译、仿真,最后形成下载文件下载至装有FPGA芯片的实验箱,进行硬件测试,要求实现秒表功能。2.核心内容秒表的逻辑结构较简单,它主要由显示译码器、分频器、十进制计数器和6进制计数器组成。在整个秒表中最关键的是如何获得一个精确的1MHZ计时脉冲,除此之外,整个秒表还需有一个启动信号和一个归零信号,以便秒表能随意停止及启动。秒有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便与同显示译码器(七段数码管驱动译

3、码器)的连接,当计时达30分钟后,蜂鸣器鸣响10声。四个10进制计数器:用来分别对百分之一秒、十分之一秒、秒和分进行计数;两个6进制计数器:用来分别对十秒和十分进行计数;分频器:用来产生1MHZ计时脉冲;显示译码器:完成对显示的控制。选定实验箱产生的频率送入分频器,输出1MHz频率,驱动显示百分秒的十进制计数器,此计数器进位端接显示时分秒的十进制计数器。依次接下去,分别是秒,十秒,分,十分。最后设计一个驱动蜂鸣器的模块三、综合实践具体内容和记录1系统组成框图及原理图2各模块的原理及其程序(1)六进制计数器library ieee;use ieee.std_logic_1164.all;use

4、ieee.std_logic_unsigned.all;entity count6 is port (clk,clr,start:in std_logic; daout:out std_logic_vector(3 downto 0); cout:out std_logic );end count6;architecture behave of count6 is signal temp:std_logic_vector(3 downto 0);beginprocess(clk,clr) begin if clr=1 then temp=0000; cout0101 else temp=tem

5、p+1; end if; end process;daout=temp; end behave;(2)十进制计数器use ieee.std_logic_arith.all;entity count10 isport( clr,start,clk:out std_logic;buffer std_logic_vector(3 downto 0);end count10;architecture behave of count10 isprocess(clr,start,clk)if clr= then daout elsif ( clk) then if daout=1001cout else

6、daout=daout+1;end if;end process;end behave;(3)蜂鸣器entity alarm isport(clk,I: q:out std_logic );end alarm;architecture ar of alarm issignal n:integer range 0 to 20;signal q0:std_logic;process(clk)begin if clkif i= then q0n=0;elsif n=19 and i=q0=not q0;=n+1;else q0q=q0;end ar;(4)分频器entity FENPIN100 is

7、port (clr,clk:in std_logic ;buffer std_logic);end FENPIN100;architecture one of FENPIN100 issignal counter:integer range 0 to 12499;process (clr,clk)if(clk counter elsif counter =12499 then q=not q; else =counter+1;end one;(5)控制器entity seltime is port(clr,clk: in bit; dain0,dain1,dain2,dain3,dain4,d

8、ain5: in std_logic_vector(3 downto 0); sel: out std_logic_vector(2 downto 0); out std_logic_vector(3 downto 0);end seltime;architecture a of seltime is signal temp:integer range 0 to 5; process(clk) if (clr=) then daout sel000 temp elsif (clk=and clkevent) then if temp=5 then tempsel001=dain1; when

9、2=010=dain2; when 3=011=dain3; when 4=100=dain4; when 5=101=dain5; end case;end a;(6)译码器 entity deled is port(num:in std_logic_vector(3 downto 0); led:out std_logic_vector(6 downto 0);end deled ;architecture a of deled is process(num) begin case num is when=led00000003.系统仿真(1)六进制(2)十进制四、实验心得整个设计过程中遇

10、到很多问题。一个问题就是发现秒走得太快,不符合实际。发现是分频器有问题。分频器的频率对秒表的准确度至关重要!一是在教学实验箱上的硬件连接错误导致的,二是语言上的语言逻辑错误。避免这些错误需要认真仔细的检查对逻辑错误逐步排错!通过本次课设,我不仅学到了关于EDA的许多专业知识,同时也让我感觉到团队合作的重要性。其实如何有效和快速的找到资料也是课设给我的启发,利用好图书馆和网络,是资源的到最好的利用。另外,与他人交流思想是取得成功的关键,在交流中,不仅强化了自己原有的知识体系也可以扩展自己的思维。通过思考、发问、自己解惑并动手、改进的过程,才能真正的完成课题。经过这次课程设计的过程,我相信在以后的课程设计中我们会吸取经验教训,做出更好的设计来。五、参考资料1 可编程器件EDA技术与实践李国洪 沈明山著 机械工业出版社2 EDA技术与数字系统设计 尹常永著 西安电子科技大学出版社3 EDA工程实践技术 付家才著 化学工业出版社

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1