ImageVerifierCode 换一换
格式:DOCX , 页数:22 ,大小:135.76KB ,
资源ID:20118341      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/20118341.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(Verilog中同步复位和异步复位比较.docx)为本站会员(b****1)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

Verilog中同步复位和异步复位比较.docx

1、Verilog中同步复位和异步复位比较【Verilog】 同步复位和异步复位比较 async vs. sync同步复位sync异步复位async特点For personal use only in study and research; not for commercial use复位信号只有在时钟上升沿到来时才能有效。无论时钟沿是否到来,只要复位信号有效,就进行复位。Verilog描述For personal use only in study and research; not for commercial usealways(posedge CLK)always(posedge CLK

2、, negedge Rst_n)优点1)利于仿真器仿真。2)因为只有在时钟有效电平到来时才有效,所以可以滤除高于时钟频率的毛刺。3)可以使所设计的系统成为100%的同步时序电路,有利于时序分析。1)设计相对简单。2)因为大多数目标器件库的dff都有异步复位端口,因此采用异步复位可以节省资源。3)异步复位信号识别方便,而且可以很方便的使用FPGA的全局复位端口GSR。缺点1)复位信号的有效时长必须大于时钟周期,才能真正被系统识别并完成复位任务。同时还要考虑,诸如:clk skew,组合逻辑路径延时,复位延时等因素。2)由于大多数的逻辑器件的目标库内的DFF都只有异步复位端口,所以,倘若采用同步复

3、位的话,综合器就会在寄存器的数据输入端口插入组合逻辑,这样就会耗费较多的逻辑资源。1)复位信号容易受到毛刺的影响。2)在复位信号释放(release)的时候容易出现问题。具体就是说:若复位释放刚好在时钟有效沿附近时,很容易使寄存器输出出现亚稳态,从而导致亚稳态。总结推荐使用异步复位,同步释放的方式,而且复位信号低电平有效。相关讨论:1、同步电路和异步电路的区别是什么?异步电路主要是组合逻辑电路,用于产生地址译码器、或的读写控制信号脉冲,但它同时也用在时序电路中,此时它没有统一的时钟,状态变化的时刻是不稳定的,通常输入信号只在电路处于稳定状态时才发生变化。也就是说一个时刻允许一个输入发生变化,以

4、避免输入信号之间造成的竞争冒险。电路的稳定需要有可靠的建立时间和保持时间,待下面介绍。 同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。比如触发器,当上升延到来时,寄存器把端的电平传到输出端。在同步电路设计中一般采用D触发器,异步电路设计中一般采用Latch。2、什么是同步逻辑和异步逻辑?同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。电路设计可分类为同步电路和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不

5、使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。由于异步电路具有下列优点-无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性-因此近年来对异步电路研究增加快速,论文发表数以倍增,而Intel Pentium 4处理器设计,也开始采用异步电路设计。异步电路主要是组合逻辑电路,用于产生地址译码器、或的读写控制信号脉冲,其逻辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟,而所有的状态变化都是在时钟的

6、上升沿(或下降沿)完成的。3、什么是线与逻辑,要实现它,在硬件特性上有什么具体要求?线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻)4、什么是Setup和Holdup时间?5、setup和holdup时间,区别.6、解释setup time和hold time的定义和在时钟信号延迟时的变化。7、解释setup和hold time violation,画图说明,并说明解决办法。时间(Setup Time)和保持时间(Hold time)。建立时间是指在时

7、钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现metastability的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。9、什么是竞争与冒险现象?怎样判断?如何消除?在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式

8、的(冗余)消去项,但是不能避免功能冒险,二是在芯片外部加电容。三是增加选通电路在组合逻辑中,由于多少输入信号变化先后不同、信号传输的路径不同,或是各种器件延迟时间不同(这种现象称为竞争)都有可能造成输出波形产生不应有的尖脉冲(俗称毛刺),这种现象成为冒险。10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?常用逻辑电平:TTL、CMOS、LVTTL、LVCMOS、ECL(Emitter Coupled Logic)、PECL(Pseudo/Positive Emitter Coupled Logic)、LVDS(Low Voltage Differential Signaling

9、)、GTL(Gunning Transceiver Logic)、BTL(Backplane Transceiver Logic)、ETL(enhanced transceiver logic)、GTLP(Gunning Transceiver Logic Plus);RS232、RS422、RS485(12V,5V,3.3V);TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V。cmos的高低电平分别为:Vih=0.7VDD,Vil=0.

10、9VDD,Vol=2.0v,Vil=2.4v,Vol=0.4v.用cmos可直接驱动ttl;加上拉电阻后,ttl可驱动cmos.1、当TTL电路驱动COMS电路时,如果TTL电路输出的高电平低于COMS电路的最低高电平(一般为3.5V),这时就需要在TTL的输出端接上拉电阻,以提高输出高电平的值。2、OC门电路必须加上拉电阻,以提高输出的搞电平值。3、为加大输出引脚的驱动能力,有的单片机管脚上也常使用上拉电阻。4、在COMS芯片上,为了防止静电造成损坏,不用的管脚不能悬空,一般接上拉电阻产生降低输入阻抗,提供泄荷通路。5、芯片的管脚加上拉电阻来提高输出电平,从而提高芯片输入信号的噪声容限增强抗

11、干扰能力。6、提高总线的抗电磁干扰能力。管脚悬空就比较容易接受外界的电磁干扰。7、长线传输中电阻不匹配容易引起反射波干扰,加上下拉电阻是电阻匹配,有效的抑制反射波干扰。上拉电阻阻值的选择原则包括:1、从节约功耗及芯片的灌电流能力考虑应当足够大;电阻大,电流小。2、从确保足够的驱动电流考虑应当足够小;电阻小,电流大。3、对于高速电路,过大的上拉电阻可能边沿变平缓。综合考虑以上三点,通常在1k到10k之间选取。对下拉电阻也有类似道理/OC门电路必须加上拉电阻,以提高输出的搞电平值。OC门电路要输出“1”时才需要加上拉电阻不加根本就没有高电平在有时我们用OC门作驱动(例如控制一个LED)灌电流工作时

12、就可以不加上拉电阻OC门可以实现“线与”运算OC门就是集电极开路输出总之加上拉电阻能够提高驱动能力。11、如何解决亚稳态。?亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。解决方法:1降低系统时钟频率2用反应更快的FF3引入同步机制,防止亚稳态传播4改善时钟质量,用边沿变化快速的时钟信号关键是器件使用比较好的工艺和时钟周期的裕量要大。亚稳态寄存用d只是一个

13、办法,有时候通过not,buf等都能达到信号过滤的效果12、IC设计中同步复位与异步复位的区别。同步复位在时钟沿采复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。13、MOORE与MEELEY状态机的特征。 Moore状态机的输出仅与当前状态值有关,且只在时钟边沿到来时才会有状态变化. Mealy状态机的输出不仅与当前状态值有关,而且与当前输入值有关,这14、多时域设计中,如何处理信号跨时域。不同的时钟域之间信号通信时需要进行同步处理,这样可以防止新时钟域中第一级触发器的亚稳态信

14、号对下级逻辑造成影响,其中对于单个控制信号可以用两级同步器,如电平、边沿检测和脉冲,对多位信号可以用FIFO,双口RAM,握手信号等。跨时域的信号要经过同步器同步,防止亚稳态传播。例如:时钟域1中的一个信号,要送到时钟域2,那么在这个信号送到时钟域2之前,要先经过时钟域2的同步器同步后,才能进入时钟域2。这个同步器就是两级d触发器,其时钟为时钟域2的时钟。这样做是怕时钟域1中的这个信号,可能不满足时钟域2中触发器的建立保持时间,而产生亚稳态,因为它们之间没有必然关系,是异步的。这样做只能防止亚稳态传播,但不能保证采进来的数据的正确性。所以通常只同步很少位数的信号。比如控制信号,或地址。当同步的

15、是地址时,一般该地址应采用格雷码,因为格雷码每次只变一位,相当于每次只有一个同步器在起作用,这样可以降低出错概率,象异步FIFO的设计中,比较读写地址的大小时,就是用这种方法。如果两个时钟域之间传送大量的数据,可以用异步FIFO来解决问题。我们可以在跨越Clock Domain时加上一个低电平使能的Lockup Latch以确保Timing能正确无误。Verilog里的同步复位与异步复位(转)1.同步复位(Synchronous Reset)来看一个简单的同步复位的D触发器,Verilog代码如下:module d_ff (clk,rst_n,datain, dataout);inputclk

16、;inputrst_n;inputdatain;ouputdataout;regdataout;always (posedge clk)beginif (!rst_n)dataout= 1b0;elsedataout= datain;endendmodule综合后的RTL图表如下:Altera的MAXII系列的CPLD中,register没有同步复位资源,所以同步复位信号需要通过额外的逻辑电路实现,同步复位的优点:1). 抗干扰性高,可以剔除复位信号中周期短于时钟周期的毛刺;2). 有利于静态时序分析工具的分析;3). 有利于基于周期的仿真工具的仿真。同步复位缺点:1). 占用更多的逻辑资源;

17、2). 对复位信号的脉冲宽度有要求,必须大于指定的时钟周期,由于线路上的延迟,可能需要多个时钟周期的复位脉冲宽度,且很难保证复位信号到达各个寄存器的时序;3). 同步复位依赖于时钟,如果电路中的时钟信号出现问题,无法完成复位。2. 异步复位(Asynchronous Reset)来看一个简单的异步复位的D触发器,Verilog代码如下:module prac (clk,rst_n,datain,dataout);inputclk;inputrst_n;inputdatain;outputdataout;regdataout;always (posedge clk or negedge rst_

18、n)beginif (!rst_n)dataout= 1b0;elsedataout= datain;endendmodule综合后的RTL图表如下:异步复位的优点:1). 无需额外的逻辑资源,实现简单,而且CPLD有针对复位信号的全局不限资源,可以保证复位管脚到各个寄存器的clock skew最小(注意不是到各个寄存器的延迟最小);2). 复位信号不依赖于时钟。同步复位缺点:1). 复位信号容易受到外界的干扰;2). 复位信号释放的随机性,可能导致时序违规,使电路处于亚稳态,如下图。3. 异步复位同步释放(Asynchronous Reset Synchronous Release)这种复位

19、方式在文献中还有一种称谓:Synchronized Asynchronous Reset,这种称谓应该在国外的技术人员中比较流行,与Altera的工程师交流过程中,他们一直使用Synchronized Asynchronous Reset这种称谓(当然也可能是个人的习惯)。来看一个Synchronized Asynchronous Reset例子,Verilog代码如下:module prac (clk,reset_n,dataa,datab,outa, outb);inputclk;inputreset_n;inputdataa;inputdatab;outputouta;outputout

20、b;regreg1;regreg2;regreg3;regreg4;assignouta= reg1;assignoutb= reg2;assignrst_n= reg4;always (posedge clk or negedge reset_n)beginif (!reset_n)beginreg3= 1b0;reg4= 1b0;endelsebeginreg3= 1b1;reg4= reg3;endendalways (posedge clk or negedge rst_n)beginif (!rst_n)beginreg1= 1b0;reg2= 1b0;endelsebeginreg

21、1= dataa;reg2= datab;endendendmodule综合后的RTL图表如下:此文来源于Implementation and Timing of Reset Circuits in Altera FPGAs,例子程序可能代码与源代码略有出入,RTL图是用QuartusII 8.1综合的,与原文也有出入。异步复位、同步释放 FPGA设计中常见的复位方式即同步复位和异步复位。在深入探讨亚稳态这个概念之前, 很多人并没有对所谓的同步复位和异步复位有太多的注意,而在实践中充分感受了亚稳态的危害之后,回过头来细细品味Verilog HDL设计与验证一书中关于复位的章节,可谓受益匪浅。

22、在以前的代码里大多使用的是异步复位。 一个简单的异步复位的例子always (posedge clk or negedge rst_n) if(!rst_n) b = 1b0; else b = a; 我们可以看到FPGA的寄存器都有一个异步的清零端(CLR),在异步复位的设计中这个端口一般就是接低电平有效的复位信号rst_n。即使说你的设计中是高电平复位,那么实际综合后会把你的复位信号反向后接这个CLR端。 一个简单的同步复位的例子always (posedge clk) if(!rst_n) b = 1b0; else b = a; 和异步复位相比,同步复位没有用上寄存器的CLR端口,综合

23、出来的实际电路只是把复位信号rst_n作为了输入逻辑的使能信号。那么,这样的同步复位势必会额外增加FPGA内部的资源消耗。 那么同步复位和异步复位到底孰优孰劣呢? 只能说,各有优缺点。同步复位的好在于它只在时钟信号clk的上升沿触发进行系统是否复位的判断,这降低了亚稳态出现的概率;它的不好上面也说了,在于它需要消耗更多的器件资源,这是我们不希望看到的。FPGA的寄存器有支持异步复位专用的端口,采用异步复位的端口无需额外增加器件资源的消耗,但是异步复位也存在着隐患,特权同学过去从没有意识到也没有见识过。异步时钟域的亚稳态问题同样的存在与异步复位信号和系统时钟信号之间。 再看下面一个两级寄存器异步

24、复位的例子always (posedge clk or negedge rst_n) if(!rst_n) b = 1b0; else b = a; always (posedge clk or negedge rst_n) if(!rst_n) c = 1b0; else c = b; 正常情况下,clk的上升沿c更新为b,b更新为a。一旦进入复位,b,c都清零;但是我们不能确定复位信号rst_n会在什么时候结束。如果结束于b_reg0和c_reg0的launch edge stup,launch edge+hold时间只外,那么一切都会正常。但如果恰恰相反,会出现什么情况呢? rst_n的

25、上升变化出现在了clk上升的建立保持时间上,此时clk检测到的rst_n的状态就会是一个亚稳态(是0是1不确定)。从代码里我们看到如果此时b_reg0和c_reg0认为rst_n为0,那么依然保持复位清零,而如果认为rst_n为1,那么就跳出复位。因为此时的rst_n的不确定性,就可能出现4种情况,即b_reg0和c_reg0都复位或者都跳出复位,再或者一个复位一个跳出复位。那么后者就会造成了系统工作不同步的问题,在这个简单的两级异步复位实例中这种危害表现的并不明显,但是我们试想一个大的工程项目里众多的寄存器出现如此情况又会是如何一番景象呢? 上面的分析似乎都让人意识到同步复位和异步复位都不可

26、靠,那么如何将两者结合,取长补短呢。 异步复位、同步释放always (posedge clk) rst_nr = rst_n; /现将异步复位信号用同步时钟打一拍always (posedge clk or negedge rst_nr) if(!rst_nr) b = 1b0; else b = a; always (posedge clk or negedge rst_nr) if(!rst_nr) c = 1b0; else c = b; 如此一来,既解决了同步复位的资源消耗问题,也解决了异步复位的亚稳态问题。其根本思想,也是将异步信号同步化。 另外特权同学请教过IC设计部一位资深专家

27、,他们在设计中常用的复位方式和上面的方法类似,大体如下:VHDL与Verilog中的同步和异步复位 这两种复位方式的区别主要看是否需要时钟的参与:异步复位不需要时钟的参与,只要复位信号一有效就立即执行复位操作;同步信号需要时钟参与,只有当时钟有效沿来时,复位信号才有效。 同步复位的最大好处是有效防止复位信号的毛刺引起的误复位操作,只要毛刺不在时钟有效沿附近出现,就不会影响电路正常工作;而若是异步复位,其复位信号的毛刺会立即引起电路复位。 异步复位可以在没有时钟的情况下完成复位,所以可以使电路在上电的时候完成对系统的复位,而且异步复位所消耗的资源比同步复位少。一般的,只要能保证复位信号的稳定,我们建议使用异步复位。 我们看一下同步和异步复位在VHDL和Verilog下的实现细节: VHDL: PROCESS(clk,reset)同步复位 BEGIN If(rising_edge(clk) then If(reset=1) then复位信号在时钟有效沿下进行判断 -执行复位操作 End if; End if; END PROCESS(clk,reset)异步复位 BEGIN If(reset=1) then复位信号在不需要在时钟有效沿下进行判断 -执行复位操作 elsif(rising_edge(clk) then

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1