ImageVerifierCode 换一换
格式:DOCX , 页数:31 ,大小:116.40KB ,
资源ID:20033126      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/20033126.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(2DPSK信号毕设论文Word文件下载.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

2DPSK信号毕设论文Word文件下载.docx

1、2DPSK二进制差分相移键控常简称为二相相对调相,它不是利用载波相位的绝对数值传送数字信息,而是用前后码元的相对载波相位值传送数字信息。所谓相对载波相位是指本码元初相与前一码元初相之差。2DPSK信号的解调有两种解调方式,一种是差分相干解调,另一种是相干解调-码变换法。后者又称为极性比较码变换法。FPGA(Field Programmable Gate Array)即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA的使用

2、非常灵活,同一片FPGA通过不同的编程数据可以产生不同的电路功能。FPGA在通信、数据处理、网络、仪器、工业控制、军事和航空航天等众多领域得到了广泛应用。VHDL(超高速集成电路硬件描述语言)目前在电子设计领域得到了广泛的应用。设计、应用中应该注意如何简化实际电路,达到优化设计的要求,用集成度高的电路语言直接表述和采用最简单、优化的设计方案。2.本课题需要重点研究的关键问题、解决的思路及实现预期目标的可行性分析复杂可编程逻辑的工作原理, 2DPSK信号产生器的工作原理。能够熟练使用MAX+plus2 (Quartus )开发平台完成编程、调试和分析。2DPSK信号产生器系统,通过FPGA产生时

3、钟信号,经过分频器产生两路不同频率的信号,一路用于对正弦信号的采样,一路用于驱动M序列信号发生器产生绝对码,经差分运算、跳变检测变换成绝对码对正弦信号去调相,而FPGA只处理数字信号,再经8位并行DAC器件变换为模拟信号,从而产生2DPSK信号。3完成本课题的工作方案3月23日3月29日 查阅资料,根据对题目的理解、资料的搜集和知识的掌握写开题报告。3月30日4月12日熟悉MAX+plus2开发平台,分析问题,提出设计方案和思路。4月13日5月10日完成系统程序流程图的设计和各模块程序的编写与调试。5月11日5月24日继续完善各模块程序的编写与调试,进行整体程序的编写与调试。5月25日5月31

4、日继续完善整体程序的编写与调试,使系统功能更加优良,同时完成论文初稿的撰写。6月01日6月19日 对设计进行优化,修改完善论文,完成论文的撰写。 6月20日6月26日 准备毕业答辩。4指导教师审阅意见指导教师(签字): 年 月 日答辩小组意见分项得分:准备情况 分; 陈述情况 分; 回答问题 分; 仪表 分答辩成绩: 答辩小组组长(签字): 年 月 日成绩计算方法指导教师成绩 20 () 评阅成绩 30 () 验收成绩 20 () 答辩成绩 30 ()学生实得成绩 (百分制)指导教师成绩 评阅成绩 验收成绩 答辩成绩 总评 答辩委员会意见毕业论文(设计)总评成绩(等级):系答辩委员会主任(签字

5、): 系(签章) 年 月 日备注目 录摘 要 XAbstract XI引 言 11. 绪论 21.1 信号发生器简介 21.2 EDA技术简介 21.4 VHDL简介 51.5 MAX+PLUS II简介 52. 2DPSK信号产生器的设计 72.1 2DPSK信号产生器的基本原理 72.2 分频器: 82.3 M序列产生器:2.4 跳变检测及正弦波信号发生器 102.5 2DPSK仿真波形 112.6 2DPSK仿真波形的分析 113. 总结 123.1 设计实现 123.2 设计中的不足和改进 123.3 毕业设计心得 12参考文献 15附 录 16附录一 16附录二 22摘 要本文介绍利

6、用硬件描述语言(VHDL)来实现2DPSK信号发生器系统,数字调制解调技术在数字通信中占有非常重要的地位。为了使数字信号在带通信道中传输,必须用数字信号对载波进行调制。根据所处理的基带信号的进制不同分为二进制和多进制调制(M 进制)。多进制数字调制与二进制相比,其频谱利用率更高,在有限的信道频带内,能够传输高速数据。数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。多进制数字调制技术与FPGA的结合使得通信系统的性能得到了迅速的提高。通过FPGA产生时钟信号,经过分频器产生两路不同频率的信号,一路用于对正弦波信号的采样,一路用于驱动M序列信号发生器产生绝对码,经差分运算、跳变检测变

7、换成绝对码对正弦波信号去调相,而FPGA只处理数字信号,故需再经8位并行DAC器件变换为模拟信号,从而产生2DPSK信号。通过对仿真波形的分析可知,该方案很好的实现了2DPSK信号产生器的功能。关键词:VHDL; FPGA ;2DPSK信号产生器 ;设计;AbstractThis article introduces how to achieve 2Dpsk signal generator system with VHDL, Technology of digital modulation and demodulation plays an important role in digital

8、 communication system.In order to transmit digital signal in band-pass channel,digital signal must be used on the carrier modulation.According to the different bands of digital signal that is handled,there are binary and multi-band modulations.Compared with binary modulation ,multi-band modulation h

9、as higher specrum utilization rate,and it could transmit high-speed data in limited-band channel.The combination of digital communication technology and FPGA is a certainly trend of the development of modern communication system.The combination of multi-band modulation and FPGA makes the performance

10、 of communication system a rapid increase,clock signalgenerated by FPGA will generate two signal with different frequency.One is used to sampling,the other is to drive M array signal generator.It will be converted to absolute code,and be used to modulate.Because only the digital signal can be proces

11、sed by FPGA,DAC is needed to generate 2Dpsk signal.Key words: VHDL;FPGA ;2Dpsk signal generator;design引 言人们为了掌握足够的信息,适应复杂多变的客观世界,满足生活、工作和学习的需要就必须进行信息的获取、传递、交换和处理。而信息的传递和交换过程就是通信。通信的目的是从一个地方向另一个地方传递信息,以实现人与人之间、人与机器或机器与机器之间的信息交换。通信是人类社会活动的工具。从我国古代的烽火报警、译码传令到今天的电报、电话、广播、传真、雷达、遥控等等都属于通信的范畴。当今世界由物质、能量和信息

12、构成。担负信息传递技术重任的通信技术的发展水平代表着人类社会的文明与进步程度。随着社会、现代科学科学技术和现代经济的发展,人类进入了信息时代,由于通信容量的增长,要求更有效的利用信道资源,也就是说,如何在有效的带宽内传送更有效的数据成了通信技术研究中的重点之一。通信分为模拟通信和数字通信。通常把传送模拟信号的系统称为模拟通信系统,把传送数字信号的系统称为数字通信系统。在模拟通信中,通过信道的信号频谱通常很窄,信道利用律较高。现今的大部分电话通信、广播、电视都使用这种通信方式。而数字通信抗噪声能力,通过差错控制编码,可以提高通信的可靠性。由于数字信号传输一般采用二进制码,所以可以使用现代计算机对

13、数字信号进行处理。因此当今世界是一个模拟通信和数字通信共存的世界,而数字通信比模拟通信性能更优越,是当今通信行业的发展方向。DPSK即差分相移键控,DPSK即差分相移键控,是数字通信系统中常用的调制方式之一, 2DPSK信号为模拟信号,而FPGA只能处理数字信号,因此,需对正弦信号采样再经过数/模变换得到所需的2DPSK信号, FPGA产生正弦信号的采样值。本文根据2DPSK信号产生器的基本原理,基于FPGA对信号产生器进行简化,使其便于用VHDL语言编程,实现2DPSK信号产生器的设计,并且利用MUXPLUS开发环境进行编译、综合仿真,验证设计的正确性。并将基于FPGA的2DPSK的仿真结果

14、进行分析。1. 绪论微电子技术的飞速发展以及各应用领域多样化的需求,促使集成电路向高速、高集成度、低功耗的系统集成方向发展,FPGA技术及在单FPGA芯片上集成嵌入式CPU、DSP、存储器和其他控制功能的片上可编程系统SOPC技术正处于高速发展中,FGPA/SOPC相关技术目前广泛应用于通信、信号处理及控制等领域。二进制移相键控2PSK是利用载波相位的绝对数值来传送数字信息,也称为绝对移相。而2DPSK则是利用相邻的码元之间的载波相位差来传送消息,即相对移相。DPSK即差分相移键控,是数字通信系统中常用的调制方式之一, 2DPSK信号为模拟信号,而FPGA只能处理数字信号,因此,需对正弦信号采

15、样再经过数/模变换得到所需的2DPSK信号1.1 信号发生器简介信号发生器是一种常用的信号源,它是一种为电子测量和计量工作提供信号的设备。和示波器、电压表、计数器等仪器一样是应用最广泛的电子仪器,几乎所有的电参量的测量都需要信号发生器。在各种试验应用和试验测试处理中,信号发生器根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。信号发生器的应用非常广泛,种类繁多。首先,信号发生器可以分通用和专用两大类,专用信号发生器主要为了某种特殊的测量目的而研制的,如电视信号发生器、脉冲编码信号发生器等,这种发生器的特性是受测量对象的要求所制约;其次,信号发生器按照输出

16、波形又可分为正弦波信号发生器、脉冲波信号发生器、函数信号发生器和任意波发生器;再次,按其产生频率的方法又可分为谐振法和合成法两种。一般传统的信号发生器都采用谐振法,即用具有频率选择性的回路来产生正弦振荡,获得所需要的频率。但也可以通过频率合成技术来获得所需频率。1.2 EDA技术简介EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计

17、文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可*性,减轻了设计者的劳动强度。EDA代表了当今电子设计技术的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在汁算机上自动处理完成。设计者采用的设计方法是一种高层次的”自顶向下”的全新设计方法,这种设汁方法首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进

18、行仿真、纠错并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行驶证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路(ASIC)。设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次性成功率。由于现代电子产品的复杂度和集成度的日益提高,一般分离的中小规模集成电路组合已不能满足要求,电路设计逐步地从中小规模芯片转为大规模、超大规模芯片,具有高速度、高集成度、

19、低功耗的可编程朋IC器件已蓬勃发展起来。在EDA技术中所用的大规模、超大规模芯片被称为可编程ASIC芯片,这些可编程逻辑器件自70年代以来,经历了CPm、IzPGA、CPLD、FPGA几个发展阶段,佃L进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。硬件描述语言(HDL)是EDA技术的重要组成部分,是EDA设计开发中的很重要的软件工具,而电子EDA技术则有很大不同,采用可编程器件,通过设计芯片来实现系统功能。采用硬件描述语言作为设计输入和库(LibraIy)的引入,由设计者定义器件的内部逻辑和管脚,将原来由电路板设

20、计完成的大部分工作故在芯片的设计中进行。由于管脚定义的灵活性,大大减轻了电路图设计和电路板设计的工作量和难度,有效增强了设计的灵活性,提高了工作效率。并且可减少芯片的数量,缩小系统体积,降低能源消耗,提高了系统的性能和可靠性。能全方位地利用计算机自动设计、仿真和调试。1.3 FPGA和CPLD简介器件硬件描述语言:硬件描述语言(HDL)是一种用于进行电子系统硬件设计的计算机高级语言,它采用软件的设计方法来描述电子系统的逻辑功能、电路结构和连接形式。硬件描述语言可以在三个层次上进行电路描述,其层次由高到低分为行为级、R,几级和门电路级。常用硬件描述语言有WDL、Velllq和AHDL语言。WDL

21、语言是一种高级描述语言,适用于行为级和R,几级的描述;Vedlq语言和ABEL语言属于一种较低级的描述语言,适用于R,几级和门电路级的描述。现在WDL和Velllq作为工业标准硬件描述语言,已得到众多EDA公司的支持,在电子工程领域,它们已成为事实上的通用硬件描述语言,承担几乎全部的数字系统的设计任务。应用Vf进行电子系统设计有以下优点:(1)与其他硬件描述语言相比,WDL具有更强的行为描述能力,强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2)VHDL具有丰富的仿真语句和库函数,使得在任何大系统的设计早期就能检查设计系统的功能可行性,并可以随时对系

22、统进行仿真。(3)Vf语句的行为描述能力和程序结构,决定了它具有支持大规模设计的分解和对已有设计的再利用功能。(4)用Vf完成的设计,可以利用EDA工具进行逻辑综合和优化,并可根据不同的目标芯片自动把Vf描述设计转变成门级网表,这种设计方式极大地减少了电路设计的时间及可能发生的错误,从而降低了开发成本。(5)Vf0L对设计的描述具有相对独立性,可以在设计者不僵硬件结构的情况下,也不必管最终设计的目标器件是什么,而进行独立的设计。(6)由于VI具有类属描述语句和子程序调用等功能,所以对于已完成的设计,可以在不改变源程序的情况厂,只需改变类属参量或函数,就能很容易地改变及计的规模和结构。EDA技术

23、发展迅猛,逐渐在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。EDA技术在进入21世纪后,由于更大规模的FPGA和凹m的不断推出,在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断更新、增加,使电子EDA技术得到了更大的发展。CPLD和FPGA都属于可编程逻辑器件,是目前应用最广泛的两种可编程逻辑器件,其内部连线结构有所不同。FPGA的分段式互连结构是利用不同长度的几种金属线通过旁路晶体管或反熔丝的连接,把各个逻辑单元连接起来的;相反,CPLD的连续式互连结构是利用具有相同长度的一些金属线实现逻辑单元之间的互连的。CPLD与FPGA相比,它的速度更高,芯片尺寸更小。另外,

24、连续式互连结构消除了分段式互连结构在定时上的差异,并在逻辑单元之间提供了一条快速的、具有固定延时的通路。这种结构的另一优点是设计容易,开发周期短。FPGA是现场可编程阵列(Field Programmable Gate Array)的英文缩写,所谓现场可编程,是指用户在自己的工作室内编程。由于门阵列中的每个节点的基本器件是门,用门来组成触发器进而构成电路和系统,其互连远比PLD的与、或加触发器的结构复杂,所以再构造时使用了单元结构。即在阵列的各个节点上放的不再是一个单独的门,而是用门、触发器等做成的逻辑单元,或称逻辑元胞,并在各个单元之间预先制作了许多连线。所谓编程,就是安排逻辑单元与这些连线

25、之间的连接关系,依靠连接点的合适配置,实现各逻辑单元之间的互连,所以严格地说,FPGA不是门阵列,而是逻辑单元阵列,它与门阵列只是在阵列结构上相似而已。1.4 VHDL简介 VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成电路硬件描述语言)是一种快速设计电路的工具,目前已经成为IEEE(The Institute of Electrical and Electronics Engineers)的一种工业标准硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支

26、持自顶向下(Top to Down)和基于库(LibraryBased)的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA工具作为开发手段,运用VH

27、DL语言。将使整个系统大大简化。提高整体的性能和可靠性。 本文是采用VHDL语言来完成系统硬件功能描述的。概括起来VHDL语言有如下特点:(1).VHDL具有强大的功能,覆盖面广,描述能力强。支持门级电路的描述、寄存器传输级电路的描述、系统级电路的描述。(2).VHDL有良好的可读性。它可以被计算机接受,也容易被读者理解。用VHDL书写的源文件,既是程序又是文档.(3).VHDL具有良好的可移植性。1.5 MAX+PLUS II简介Max+plus是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。Max+plus界面友好,使用便捷,被誉

28、为业界最易用易学的EDA软件。在Max+plus上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。Max+plus开发系统的特点1、开放的界面:Max+plus支持与Cadence,Exemplarlogic,Mentor Graphics,Synplicty,Viewlogic和其它公司所提供的EDA工具接口;2、与结构无关:Max+plus系统的核心Complier支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Cl

29、assic可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境;3、完全集成化:Max+plus的设计输入、处理与较验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。4、丰富的设计库:Max+plus提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑功能(Macro-Function)以及新型的参数化的兆功能(Mage-Function);5、模块化工具:设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设计环境用户化。6、硬件描述语言(HDL):Max+plus软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL

30、和Altera自己的硬件描述语言AHDL;7、Opencore特征:Max+plus软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。2. 2DPSK信号产生器的设计2.1 2DPSK信号产生器的基本原理数字信号b(t)的“1”都对应于已调信号S2PSK(t)中的载波0相位;数字信号b(t)的“0”都对应于已调信号中S2DPSK(t)载波相位,反之亦然。这种调相方式称为“绝对调相 ”。又称二相绝对调相(2PSK)。无论哪一种对应关系,已调信号的相位变化都是相对于一个固定的参考相位未调载波的相位来取值。在实际应用中,存在相干载波相位模糊问题,即在二相绝对调相接收中可能出现倒现象。为此,也可采用差分编码,这里通常称为相对(差分)移相,每一个码元中载波相位的变化不是以固定相位作参考,而是以前一码元载波的相位为参考。当数字信号b(t)为“1”时,码元中载波的相位相对于前一个码元的载波相位变化; 当数字信号b(t)为“0”时,码元中

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1