ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:93.52KB ,
资源ID:19891303      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/19891303.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(vgaWord文件下载.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

vgaWord文件下载.docx

1、=cnt1+1;END PROCESS;end;- - Title: VGA彩条发生器 - - Author:Pan hongtao - - Data: 2006-10-1 - -entity vgadata is port( Clk : -时钟信号 Key : -模式选择 HS,VS : buffer std_logic; -行同步和场同步 R,G,B : out std_logic -颜色输出end vgadata;architecture behave of vgadata is signal fclk,cclk : std_logic; signal mmd : std_logic_v

2、ector(1 downto 0); -mode select signal fs : std_logic_vector(3 downto 0); signal cc : std_logic_vector(4 downto 0); -Horizontal Synchronization signal ll : std_logic_vector(8 downto 0); -Vertical Synchronization signal grbx : std_logic_vector(2 downto 0); -horizontal strip of X signal grby : -vertic

3、al strip of Y signal grbp : signal delay : std_logic_vector(15 downto 0); begin B=grbp(0) and HS and VS; R=grbp(1) and HS and VS; G=grbp(2) and HS and VS; process(Clk) -mode of strip generation if(Clkevent and Clk=) then if(Key= if(delay60000) then delay=delay+1; end if; else=0000000000000000 if(del

4、ay=10000 and mmd3) then mmdgrbp000 end case; process(Clk) then -12MHz clock signal devided by 13 if(fs=12) then fs0000=fs+1; fclk=fs(3); process(fclk) if(fclkevent and fclk= if(cc=29) then cc00000=cc+1; cclk=cc(4); process(cclk) if(cclkevent and cclk= if(ll=481) then ll23) then HS479) then VS -verti

5、cal synchronization if(cc grbx111 elsif(cc6) then1109) then10112) then10015) then01118) then01021) then001 if(ll60) then grby elsif(ll120) then180) then240) then300) then360) then420) thenend behave;最近一直在玩转自己板子上的VGA模块,前几天做了一个基于Verilog的VGA显示控制,拿出来和大家分享一下。一、VGA时序下面的图是本人画了一个晚上的结果,个人认为能够比较详细的阐述VGA的信号时序。

6、VGA的时序根据不同的显示分辨率和刷新频率会有变化,具体各种类型的时序信息可以参考下面的网站,这里非常详细的说明的每一种显示模式的VGA时序信息。二、VGA电平VSYNC,HSYNC为标准TTL电平,0V3.3V。RGB的电平在0V0.7V之间(0V为黑色,0.7V为全色)。三、程序顶层框图VGA产生行同步(HSYNC),场同步信号(VSYNC),并产生每个像素的地址输入单口ROM(显存)中,ROM输出该点需要显示的颜色值。四、单口ROM(显存)设计程序的显示模式为800*600,72Hz刷新频率,像素频率为50MHz。每个像素需要显示的颜色存储在单口RAM中,每种颜色用8个字节表示,则如果要

7、显示800*600分辨率,则需要800*600字节(480KB)的单口ROM,由于FPGA内部没有这么大的RAM(我用的是ep2c8),因此我把屏幕上100*100个像素组成的矩形作为一个逻辑像素(即显示同一种颜色),这样只要8*6字节(48字节),用FPGA自带的RAM是很容易实现的。ROM中颜色存储地址表将全屏划分成8*6的方格,每个方格的颜色存储在ROM中,VGA控制器不断产生行坐标(ROM水平地址)和场坐标(ROM垂直地址),最后组合成ROM实际地址输入ROM中,ROM输出该地址的颜色值,显示在LCD中。五、程序设计VGA控制器程序module VGA(clk,rst_n,hsync,

8、vsync,vga_r,vga_g,vga_b);input clk; /50MHzinput rst_n; /复位信号output hsync; /行同步信号output vsync; /场同步信号/ R、G、B信号输出output1:0 vga_r;output2:0 vga_g;0 vga_b;/-reg10:0 x_cnt; /行坐标(这里包括了行同步、后沿、有效数据区、前沿)reg9:0 y_cnt; /列坐标(这里包括了场同步、后沿、有效数据区、前沿)reg5:0 Xcoloradd;reg2:0 Ycoloradd;parameter Left = 184, PixelWidth

9、 = 100, Top = 29;always (posedge clk or negedge rst_n) if(!rst_n) x_cnt = 10d0; else if(x_cnt = 11d1040) x_cnt /行计数记到1040 else x_cnt = x_cnt+1b1;always (posedge clk or negedge rst_n)/产生行地址(ROM水平地址)rst_n) Xcoloradd = Left & x_cnt Left + PixelWidth) Xcoloradd = Left + PixelWidth &Left + 2*PixelWidth)

10、Xcoloradd b000001;= Left + 2*PixelWidth &Left + 3*PixelWidth) Xcoloradd b000010;= Left + 3*PixelWidth &Left + 4*PixelWidth) Xcoloradd b000011;= Left + 4*PixelWidth &Left + 5*PixelWidth) Xcoloradd b000100;= Left + 5*PixelWidth &Left + 6*PixelWidth) Xcoloradd b000101;= Left + 6*PixelWidth &Left + 7*Pi

11、xelWidth) Xcoloradd b000110;= Left + 7*PixelWidth &Left + 8*PixelWidth) Xcoloradd b000111; else Xcoloradd b110000;/背景颜色地址rst_n) y_cnt else if(y_cnt = 10d666) y_cnt /场同步记到666d1040) y_cnt = y_cnt+1/每计数完一行,场同步就加一always (posedge clk or negedge rst_n)/产生列地址(ROM垂直地址)rst_n) Ycoloradd = Top & y_cnt Top + Pi

12、xelWidth) Ycoloradd = Top + PixelWidth & Top + 2*PixelWidth) Ycoloradd b001;= Top + 2*PixelWidth & Top + 3*PixelWidth) Ycoloradd b010;= Top + 3*PixelWidth & Top + 4*PixelWidth) Ycoloradd b011;= Top + 4*PixelWidth & Top + 5*PixelWidth) Ycoloradd b100;= Top + 5*PixelWidth & Top + 6*PixelWidth) Ycolora

13、dd b101; else Ycoloradd 10d184) & (x_cnt d29) & (y_cnt d629);reg hsync_r,vsync_r; if (!rst_n) begin hsync_r = 1b0; vsync_r d120; /产生hsync信号(行同步)when x_cnt=50,then hsync_r=1,else 0;低电平同步= y_cnt d6; /产生vsync信号(场同步)my LCD is low syncassign hsync = hsync_r;assign vsync = vsync_r;/- /颜色输出assign vga_r1 =

14、valid ? color7 : 1assign vga_r0 = valid ? color6 :assign vga_g2 = valid ? color5 :assign vga_g1 = valid ? color4 :assign vga_g0 = valid ? color3 :assign vga_b2 = valid ? color2 :assign vga_b1 = valid ? color1 :assign vga_b0 = valid ? color0 :endmodule这次程序中只在ROM中存储了一些随机的数,因此显示出来是一些小方格,如果ROM做的更大,完全可以存储一幅图像,显示在LCD中。不过由于由于用ROM做为显存,每次只能显示一幅静态的图像,而且没有加入字符库,不能显示字符,在下次的文章中,我将使用双口RAM,加上Nios II处理器,这样可以方便的显示各种字符。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1