ImageVerifierCode 换一换
格式:DOCX , 页数:28 ,大小:1.15MB ,
资源ID:19712151      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/19712151.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(FPGA实验四VGA 显示的设计Word文档格式.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

FPGA实验四VGA 显示的设计Word文档格式.docx

1、G15vga_g0H15vga_hsF15vga_r0H14vga_vsF14源代码如下:module vga_VibesIC(clk, rst_n, vga_hs, vga_vs, vga_r0, vga_g0, vga_b0);input clk;input rst_n ;output vga_hs;output vga_vs;output vga_r0, vga_g0, vga_b0;wire hsync;wire vsync;wire valid;wire 9:0 x_cnt;0 y_cnt;0 char_sel;wire 15:0 char;/设定屏幕分辨率:800x6000 xpo

2、s;/0.7990 ypos;/0.599reg color;assign xpos = x_cnt - 10d180; /确定X 轴像素位置assign ypos = y_cnt - 10d35; /确定Y 轴像素位置sync_gen_50m sync_gen_50m_int (.clk ( clk ),.rst_n ( rst_n ),.hsync ( hsync ),.vsync ( vsync ),.valid ( valid ),.x_cnt ( x_cnt ),.y_cnt ( y_cnt );wire table0 = ( ypos 10d140 & ypos d160 & xp

3、os d640 )|( xpos = 10d160 | xpos = 10d640 ) & ( ypos d460 );wire word0 = (xpos d204) & (xpos d96) & (ypos d128);wire word = word0 & color;assign vga_r0 = valid ? (word ? 1b1 : (line0 ? (table0?b0 :b0 ) :b0;assign vga_g0 = valid ?assign vga_b0 = valid ?b1 ) :assign vga_hs = hsync;assign vga_vs = vsyn

4、c;assign char_sel = xpos -10d204;char_rom_VibesIC char_rom_VibesIC_inst(.addr (char_sel9:5,ypos4:1), /字符的Y 轴由16 pixel 变为32 pixel.data (char)always (char_sel4:1 or char)begincase (char_sel4:1)4h0 : color = char15;h1 : color = char14;h2 : color = char13;h3 : color = char12;h4 : color = char11;h5 : col

5、or = char10;h6 : color = char9;h7 : color = char8;h8 : color = char7;h9 : color = char6;hA : color = char5;hB : color = char4;hC : color = char3;hD : color = char2;hE : color = char1;hF : color = char0;endcaseendendmodulemodule sync_gen_50m(clk, rst_n, hsync, vsync, valid, x_cnt, y_cnt);input rst_n;

6、output hsync;output vsync;output valid;output 9:reg hsync;reg vsync;reg valid;reg 9:/ -/ 两个Always 中可以使用相同的判断条件always ( posedge clk or negedge rst_n )if ( !rst_n )x_cnt = 10d0;else if ( x_cnt = 10d1000 )else= x_cnt + 1b1;y_cnt else if ( y_cnt = 10d665 )= y_cnt + 1/ hsync = x_cnt d50;中第一个=为赋值语句,第二个为比较

7、语句/ 本代码的操作为:比较后再赋值。hsync = 1vsync d50; /产生hsync 信号= y_cnt d6; /产生vsync 信号valid d180 ) & ( x_cnt d35) & ( y_cnt d635) );Endmodulemodule char_rom_VibesIC(addr, data); /字模产生模块input 8:0 addr;output 15:0 data;reg 15:/ A memory is implemented/ using a case statementalways (addr)case (addr)9h000 : data = 1

8、6H0040; / 威h001 :H0050;h002 :H0048;h003 :H3FFC;h004 :H2040;h005 :H3F48;h006 :H284C;h007 :H2848;h008 :H3F50;h009 :H2930;h00a :H2920;h00b :H2620;h00c :H2552;h00d :H488A;h00e :H5304;h00f :H8000;h010 :H0000; / 百h011 :HFFFE;h012 :H0300;h013 :H0200;h014 :H1FF0;h015 :H1010;h016 :h017 :h018 :h019 :h01a :h01

9、b :h01c :h01d :h01e :h01f :h020 :h0840; / 仕h021 :h0C40;h022 :h1840;h023 :h1040;h024 :h3044;h025 :h2FFE;h026 :h6040;h027 :hA040;h028 :h2040;h029 :h02a :h02b :h02c :h2044;h02d :h02e :h2000;h02f :h0000;h030 : /h031 :h032 :h033 :h034 :h035 :h036 :h037 :h038 :h039 :h03a :h03b :h03c :h03d :h03e :h03f :h04

10、0 : / Fh041 :h042 :h043 :hFC00;h044 :h4200;h045 :h4800;h046 :h047 :h7800;h048 :h049 :h04a :h4000;h04b :h04c :h04d :hE000;h04e :h04f :h050 : / Ph051 :h052 :h053 :h054 :h055 :h056 :h057 :h058 :h7C00;h059 :h05a :h05b :h05c :h05d :h05e :h05f :h060 : / Gh061 :h062 :h063 :h3C00;h064 :h4400;h065 :h066 :h80

11、00;h067 :h068 :h069 :h8E00;h06a :h8400;h06b :h06c :h06d :h3800;h06e :h06f :h070 : / Ah071 :h072 :h073 :h1000;h074 :h075 :h1800;h076 :h2800;h077 :h078 :h2400;h079 :h07a :h07b :h07c :h07d :hE700;h07e :h07f :h080 :/ 开h081 :h7FFE;h082 :h0420;h083 :h084 :h085 :h086 :hFFFE;h087 :h088 :h089 :h08a :h0820;h0

12、8b :h08c :h1020;h08d :h2020;h08e :h4020;h08f :h090 :h0200;/ 发h091 :h2240;h092 :h2230;h093 :h2210;h094 :h095 :h096 :h0400;h097 :h07E0;h098 :h0C20;h099 :h0A20;h09a :h09b :h1140;h09c :h2080;h09d :h4160;h09e :h861C;h09f :h1808;h0a0 :h007C;/ 系h0a1 :h3F80;h0a2 :h0220;h0a3 :h0a4 :h0a5 :h1F80;h0a6 :h0320;h0a7 :h0C10;h0a8 :h3FF8;h0a9 :h108C;h0aa :h04A0;h0ab :h0890;h0ac :h1088;h0ad :h2084;h0ae :h4284;h0af :h0100;h0b0 :/ 统h0b1 :h0b2 :h23FE;h0b3 :h0b4 :h4440;h0b5 :hF888;h0b6 :h0904;h0b7 :h13FE;h0b8 :h2094;h0b9 :h7C90;h0ba :h0090;h0bb :h0bc :h1D12;h0bd :hE112;h0be :h020E;h0

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1