ImageVerifierCode 换一换
格式:DOCX , 页数:65 ,大小:920.43KB ,
资源ID:19639941      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/19639941.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA和单片机的电梯模型设计Word文档格式.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA和单片机的电梯模型设计Word文档格式.docx

1、 FPGA,VHDL,elevator model 第1章 绪论 11.1 选题的背景、目的及意义 11.2 电梯国内外研究现状 11.3 基于FPGA和单片机的电梯模型设计的设想与方法 2第2章 总体方案 42.1 方案的选择与论证 42.2 总体方案的设计 5第3章 硬件电路设计 73.1 FPGA电路介绍 7 3.1.1 FPGA芯片介绍 83.2 单片机最小系统 11 3.2.1 单片机AT89S52介绍 123.3 键盘电路介绍 14 3.3.1 可编程并行接口芯片8155介绍 143.4 显示电路介绍 16 3.4.1 显示接口芯片介绍 173.5 步进电机和步进电机驱动电路介绍

2、20 3.5.1 步进电机的控制 20 3.5.1.1 步进电机的起动 20 3.5.1.2 步进电机的换向 20 3.5.1.3 步进电机的转速控制 203.5.2 步进电机驱动电路 213.6 光电传感器电路介绍 223.7 +5V电源电路设计及其工作原理 23 3.7.1 +5V电源电路设计原理图 23 3.7.2 +5V电源电路的工作原理 23 3.7.3 +5V电源稳压芯片介绍 24第4章 软件程序的设计与调试 254.1 FPGA电梯控制器的设计 25 4.1.1 控制模块 25 4.1.1.1 控制模块设计流程图 26 4.1.1.2 控制模块VHDL程序清单 29 4.1.1.

3、3 控制模块的ModelSim SE PLUS仿真 294.1.2 电机模块 314.1.2.1 电机模块设计流程图 31 4.1.2.2 电机模块程序清单 31 4.1.2.3 电机模块ModelSim SE PLUS仿真 314.1.3 分频模块 354.1.3.1 分频模块设计流程图 35 4.1.3.2 分频模块程序清单 35 4.1.3.3 分频模块ModelSim SE PLUS仿真 364.1.4 顶层模块 374.2 单片机键盘显示的设计 38第5章 电梯模型的调试 405.1 硬件调试 405.2 软件调试 405.3 实际测试 41结论 42致谢 43参考文献 44附录1

4、电梯模型的原理图 45附录2 VHDL程序清单 46附录3 单片机程序清单 53第1章 绪论1.1 选题的背景、目的及意义近几年来,中国电梯行业迅速崛起,庞大的市场潜力令世界瞩目。1980年,全国制造电梯2249台,到2005年底全国生产电梯达到13.5万台。26年间,中国电梯产量增长了60多倍,年产量达到并超过世界总量的1/3,电梯制造企业和制造总量居全球第一,中国已经成为电梯制造大国。 发展和物质生活水平的提高,电梯成为人们工作、生活中不可或缺的楼宇交通工具,而电梯模型正是实际电梯系统最直接、最简单的表现形式。“资本和技术主宰一切的时代已经过去,创意的时代已经来临。”这句从美国硅谷到华尔街

5、的流行语,现在流行到了中国。作为21世纪的新青年,我们的毕业设计必须致力于让我们的生活每天都发生细微变化,致力于创造更理想的生活方式、创造更和谐的生活空间,彰显多彩多姿的电梯文化,倡导电梯设计的最新理念,探讨电梯设计的未来发展方向。正是基于此,我想凭我四年所学来设计一个电梯模型,其目的是巩固和复习我所学的专业知识、了解电梯的工作原理、增强动手制作电路板以及调试电路的能力。1.2 电梯国内外研究现状现在的主要电梯公司提供以下电梯产品,以满足各种不同建筑的需求: 高速无齿轮电梯:高层楼宇和塔类建筑;低中速有齿轮变频电梯:高层和低层建筑;无机房电梯:中层和低层建筑;液压电梯:公寓楼、小型办公楼和低层

6、楼宇;线性电梯:低层豪华建筑物、住宅楼、公寓、住宅; 观光梯:商业用途;货梯-曳引梯-液压梯:适用于需要移动重型货物的工业建筑物、工厂和仓库;病床梯:医院、医疗中心、疗养院;倾斜式电梯:用于室外,在斜坡上连接上不同区域;商业扶梯:商业使用,如购物中心、宾馆等。 重型扶梯:公共场所,如火车站、地铁站、机场等;RTAV-O-LATOR自动人行道:机场候车厅、火车站、购物中心等;屏蔽门系统:安装在新型地铁站、轻轨交通系统等人员输送系统,能够有效保障候车乘客的安全,并且节约了车站空调的能耗;穿梭机系统:专为城市中心、机场、医院、度假村和高级住宅区等设计的水平交通系统。国际上无机房电梯已经到了第四代无机

7、房电梯,从根本上解决了前三代无机房电梯的缺陷,首先是安全隐患得到解决,其次是共振共鸣问题的解决,第三是速度上只要主机生产企业能够供应,提升高度及速度不存在技术问题。所以第四代无机房电梯是目前世界上最先进的无机房电梯。 目前只有WALESS采用第四代无机房电梯,而且由于该技术只提供中国,所以目前世界上只有中国的WALESS供应商能够提供第四代无机房电梯。第四代无机房电梯不只是无机房电梯技术已经得到完美体现,最关键的是整体技术在中国达到最先进的程度。由于其技术为2002-2003年世界最新技术,比目前中国生产的任何电梯的技术先进3-5年。所有载人垂直升降电梯全部采用双向安全钳与双向限速器,该双向安

8、全系统是目前中国电梯标准修改中选择的安全系统标准,也是欧洲已经采用的安全标准。 目前在中国市场最有代表性的无机房电梯就是通力的第三代无机房电梯,OTIS的轴式主机无机房电梯,WALESS的第四代无机房电梯。而在国内大中项目中标的大体就这三个品牌。 2005年中国新的电梯标准出台,第一第二代无机房电梯已经不能再使用,而无机房电梯也在2004年有了飞速发展。估计2005年-2008年中国的无机房电梯将成为最主要的用户选择。1.3 基于FPGA和单片机的电梯模型设计的设想与方法现代硬件设计运用EDA(ElectronicDesign Automation)技术采用并行工程和“自顶向下”的设计方法,从

9、系统设计入手,在顶层进行层次划分和结构设计,在功能模块一级进行仿真、纠错,并用VHDL,VerilogHDL等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,最后用逻辑综合优化工具生成门级逻辑电路的网表,其对应的物理实现可以是印刷电路板或专用集成电路。VHDL(VeryHighSpeedIntegratedCircuit HardwareDescriptionLanguage)即超高速集成电路硬件描述语言,主要用于描述数字系统的行为、结构、功能和接口。本文采用VHDL语言来设计实用电梯控制器,其代码具有良好的可读性和易理解性,源程序经Xilinx公司的ISE9.1软件仿真,目标器件

10、选用FPGA器件。基于FPGA的电梯控制系统的设计采用可编程逻辑器件,并用超高速硬件描述语言VHDL在Xilinx公司的Spartan系列的2sc100-6PQ-208芯片上编程实现整个系统的控制部分。单片机AT89S52用于控制键盘和显示。对于电梯的核心部分电梯控制器的设计采用的是自顶向下的设计方法,也即模块化的设计方法。具体实现是先对整个系统的硬件结构有一定的了解,而后将整个系统分解成各个功能明确且相对对立的模块,再将各个模块又进而分解成我们所熟悉的一个个小的单元电路。根据分析,该电梯模型控制器可分成以下几个模块:电梯和电机驱动模块:自制电梯门,采用红外线传感器感应是否有乘客上下,利用直流

11、电机驱动。电梯的升降采用步进电机实现,便于控制而且误差小。信号采集模块:信号采集的功能:a.作为用户界面,它的外部为到达各楼层的按钮和电梯内的按钮。b.到达楼层信号来自霍尔磁性传感器,开门信号来自红外传感器。状态检测和控制模块:控制该模块功能用状态机完成,可分成四个状态:1待命状态,电梯停在底层;2服务状态,电梯门打开,接收用户;3向上运行状态;4向下运行状态。分频模块:本系统的状态转换频率我们进行了1M分频,应用于电机控制频率。模型电梯主要由轿厢、支架、导轨、电机和滑轮等组成。整个模型的支架结构我采用的是透明的塑料薄板,即PS板,其重量非常轻,而且在外面可以很清晰地知道电梯工作过程。轿厢的制

12、作采用的是小纸盒,因为考虑到轿厢的拖动采用的是小型的步进电机,它带负载的能力不大。第2章 总体方案2.1 方案的选择与论证基于FPGA的电梯控制系统的设计采用可编程逻辑器件,并用超高速硬件描述语言VHDL在使用Xilinx公司的xc2s100e-pq208芯片上编程实现了整个系统的控制部分。方案一:用FPGA实现全部功能。此方案的优点是将硬件电路集成到一块芯片上面,系统稳定,抗干扰能力强。但是利用FPGA实现按键和显示,在程序实现方面比较繁琐。该方案实现的原理框图如图2.1所示:图2.1 FPGA实现电梯控制器的原理框图方案二:单片机和FPGA结合实现其全部功能。此方案的优点是系统比较稳定、抗

13、干扰能力较强,便于控制。用FPGA作为系统的核心控制模块,单片机作为按键和显示模块。本次设计选用此种方案,该方案实现的原理框图如图2.2所示:图2.2 FPGA和单片机实现电梯控制器的原理框图2.2 总体方案的设计本系统由FPGA作为主控制模块,单片机实现按键和显示功能,电机采用步进电机控制电梯是升降,直流电机控制电梯门的开关。由于考虑到步进电机带负载的能力,本次电梯轿厢的设计没有开关门的动作,也没有直流电机的驱动。通过上述分析可以知道,整个系统可分为以下几个模块:电梯和电机驱动模块、信号采集模块、状态检测和控制模块、分频模块。整个硬件的结构如下图2.3所示7:图2.3 电梯模型的硬件组成第3

14、章 硬件电路设计根据设计方案,整个系统主要分为单片机控制部分和FPGA控制部分,下面我们将各部分单元电路进行介绍,系统原理图见附录1。3.1 FPGA电路介绍此次设计用的FPGA芯片采用的是Xilinx的Spartan系列xc2s100e-6PQ-208,并且在FPGA最小系统板上面集成了一块PROM,型号是xcf01s,可以实现掉电保存程序。FPGA最小系统为控制器实现电梯的各项功能。FPGA器件采用现场可编程单元阵列LCA结构,它由三个可编程基本模块组成:输入/输出模块IOB阵列、可配置逻辑块CLB阵列及可编程互连网络PI。配置逻辑功能块CLB的可编程逻辑单元,由分层的通用布线通道(Rou

15、ning Channel)连接,同可编程输入输出功能块围绕来实现,基中CLB提供实现逻辑功能的逻辑单元;IOB提供引脚到内部信号线的接口,布线通道则提供CLB和IOB的到连接通道1。设计使用的FPGA最小系统板由XC2S100E、50MHZ晶振、电源部分、指示部分和开关组成,电源部分将5V直流经过TPS70451转换得到+3和5V +1.8V的直流电,PROM(XCF01S)是一个掉电存储器,在掉电时可自动保存数据,4个脚双列插针用于其它外部设备连接。图3.1为FPGA电路接口图。图3.1 FPGA最小系统电路接口图3.1.1 FPGA芯片介绍本次设计采用的是Xilinx公司的SpartanE

16、系列的XC2S100E芯片作为电梯控制器的核心芯片。Xilinx公司是FPGA的发明者,早在1985年首次推出了FPGA,随后不断推出新的集成度更高、速度更快、价格更低、功耗更小的FPGA器件系列。其中的Spartan器件系列是以Virtex器件的结构为基础发展起来的第二代高容量的FPGA。Spartan器件的集成度可以达到15万门,系统速度可达到200MHz,能达到ASIC的性价比。Spartan器件的工作电压为2.5V,采用0.22m/0.18m的CMOS工艺,6层金属连线制造。Spartan系列的XC2S100E-6PQ-208引脚见表3.1所示:表3.1 XC2S100E引脚功能表8X

17、C2S100E引脚功能引脚名GNDP1VCCINTP76I/OP151TMSP2I, GCK1P77P152P3VCCOP78I/O (DIN,D0)P153P4P79I/O(DOUT,BUSY)P154P5P80CCLKP155I/O, VREFP6P81P156P7P82TDOP157P8P83P158P9P84TDIP159P10P85I/O (CS)P160P11P86I/O (WRITE)P161P12P87P162P13P88P163P14P89P164P15P90P165P16P91P166P17P92P167P18P93P168P19P94P169P20P95P170P21P9

18、6P171P22P97P172P23P98P173I/O, IRDY(1)P24P99P174P25P100P175P26P101P176P27P102P177P28P103P178P29DONEP104P179P30P105P180P31PROGRAMP106P181P32I/O (INIT)P107I, GCK2P182P33I/O (D7)P108P183P34P109P184P35P110I, GCK3P185P36P111P186P37P112P187P38P113P188P39P114P189P40I/O (D6)P115P190P41P116P191P42P117P192P43P

19、118P193P44I/O (D5)P119P194P45P120P195P46P121P196P47P122P197P48P123P198P49P124P199M1P50P125P200P51I/O (D4)P126P201M0P52P127P202P53P128P203M2P54P129P204P55P130P205P56P131P206P57P132TCKP207P58P133P208P59P134P60I/O (D3)P135P61P136P62P137P63P138P64P139P65P140P66P141P67I/O (D2)P142P68P143P69P144P70P145P71I/O (D1)P146P72P147P73P148P74P149P75I/O,

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1