ImageVerifierCode 换一换
格式:DOCX , 页数:22 ,大小:340.54KB ,
资源ID:19526560      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/19526560.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(南京邮电大学VHDL设计报告数字时钟设计数码管学号滚动显示Word格式.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

南京邮电大学VHDL设计报告数字时钟设计数码管学号滚动显示Word格式.docx

1、3.2小组成员(程序设计) (调试及改进)3.3课题内容要求学生设计一个时钟,并输出到数码管显示时,分,秒。3.4方案设计3.4.1设计思路:选用6组数码管,分别显示小时数的十位、个位,分钟数的十位、个位,秒数的十位、个位。选用6个计数器来分别产生这6位数。因此需设计3个模10计数器来产生小时、分钟、秒的个位上的09,2个模6计数器来产生分钟、秒的十位上的05,1个模3计数器来产生时钟的十位上的02。采用外部时钟作为秒钟的计数时钟,然后上一级的进位信号作为下一级的时钟信号。各位上计数上产生的数字通过译码显示在7段数码管上。3.4.2系统原理图 秒个位 译码显示秒十位 译码显示 分个位 译码显示

2、分十位 译码显示 时个位 译码显示时十位译码显示3.4.3各模块算法框图(a)符号说明(1)hou1:小时数十位(2)hou2:小时数个位(3)min1:分钟数十位(4)min2:分钟数个位(5)sec1:秒数十位(6)sec2:秒数个位(b)各模块算法框图(1)秒钟计数器部分:(2)分钟计数器部分:(3)时针计数器部分:3.5设计实现时序仿真图及分析:仿真波形部分图形如上所示。Led1led6分别对应hou1sec2,在该波形中,第一个clk周期内led6管显示4,下一个clk上升沿时,led6变成5,接下来随着clk上升沿,led6依次显示6、7、8、9,在此期间,led5始终显示1,当第

3、6个clk上升沿来临时,led5变成2,同时led6从9变成0。此部分波形表示时钟从00:00:14一直计数到00:26。符合课题要求。实验(二)3.1综合题课题名称数码管学号滚动显示(调试及改进)要求学生在六个数码管滚动显示自己的学号(六位),每隔一定时间循环移位一次,学号为奇数则左移,学号为偶数则右移。间隔时间可由开关选择1秒、2秒、3秒、4秒。3.4方案设计:(1)利用动态扫描数码管及人眼的视觉暂留效应,通过引入一个高频时钟来做扫描时钟,同时分频该时钟,产生1Hz分频,即产生出1秒时钟。(2)设置一个移位时间计数器,来表征移位时间,利用之前产生的1Hz分频的计数操作,来产生不同的移位时间

4、,即1s、2s、3s、4s,同时进行标记位移位操作。(3)设置一个动态扫描变量,每个扫描时钟上升沿时,该变量进行移位操作,扫描0到flag(flag小于等于5),完成数码管的动态扫描。(4)设置另一个标记位变量flag1,当flag等于5时,flag1取代flag,来表征当前扫描最前端。随后定义变量j,j=(flag1-cnt)mod 6,完成flag=5之后的循环移位操作。3.4.2系统原理图: 1hz J=(flag1-cnt)mod63.5各模块算法:3.5.1符号说明:(1)Count:计数变量(2)Mtcnt:移位时间计数信号(3)Flag:标记位(0)(4)Flag1:标记位(可以

5、大于5)(5)Cnt:扫描位(6)Dire:方向选择信号3.5.2各模块算法框图(1)1Hz分频 N Y(2)移位操作 1Hz(3)动态扫描 20Hz(4)扫描显示3.6设计特点利用高频扫描信号带来的视觉暂留来实现动态扫描,通过标记位与移位的同步操作及扫描位的逐次跟踪,实现动态扫描,达到循环效果。3.7时序仿真图及分析:设置dire=0,mode=00,即每一秒数字右移,部分仿真波形图如下:如图,图中第2个clk(20Hz)上升沿来临时,clk1s(1Hz)迎来上升沿,flag和flag1分别加一,由4变成5,表明当前标记到第6个数码管,scan信号从001000到000001到100000再

6、到001000,实现循环选管,从seg7信号可以看出,当前数码管显示010606。如图,5.47s时clk1s上升沿来临,和上图clk1s上升沿来临时相隔1s,符合仿真时设置mode=00,即1s移位操作。随着clk1s上升沿来临,flag不变,仍为5,作为循环扫描的标记位,flag1加一,变成6。此时,scan从000010变成000001变成100000再变成000010,循环选管。当前数码管显示401063。和上图相比,学号010634时隔1s向右移位一次,变为601060,符合题目要求。如需实现左移操作,只要设dire=1即可。如需实现2s、3s、4s移位操作,只需设mode=01、1

7、0、11即可。四、实验总结这次设计,由于理论知识的不足,一开始的时候有些手忙脚乱,不知从何入手,在查阅了许多资料后有了一定的了解,实验的设计也慢慢有了雏形。这次实验设计,使我掌握了用硬件描述语言进行高速集成电路设计的基本技能。通过设计程序与实际仿真,熟悉了Quartus 的设计与仿真环境。VHDL语言与其他语言语法类似,但是执行方式存在很大的不同,各进程间并发执行是这门语言的一大特色。这次实验结束了,在这次实践中除了学会一些技能之外,还深切的体会到人与人之间相互协调合作、交流思想是十分重要的。在今后的工作中,我一定要戒骄戒躁,态度端正,要永远记住并深刻执行一句话:态度决定一切。通过这次毕业设计

8、我明白学习是一个长期积累的过程,在往后的工作、生活中都应该不断的学习,努力提高自己的知道层次和综合素质。附录一:源程序(实验一)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shizhong isport( clk:in std_logic; led1:out std_logic_vector(6 downto 0); led2: led3: led4: led5: led6:out std_logic_vector(6 downto 0);end shizhong;archite

9、cture main of shizhong issignal hou1:std_logic_vector(3 downto 0);signal hou2:signal min1:signal min2:signal sec1:signal sec2:begin-h110:process(clk,hou2,min1,min2,sec1,sec2)if clkevent and clk=1 thenif (hou1=0010 and hou2=0011)and(min1=0101 and min2=1001) and (sec1= and sec2=) thenhou1=0000;elsif (

10、hou2=and(min1=) then=hou1+1;end if;end process h110;-h220:process(clk,min1,min2,sec1,sec2,hou1)hou2elsif hou2=elsif (min1=hou2+1;end process h220;m110:process(clk,min2,sec1,sec2)if (min1=) then min1elsif (min2=and (sec1=)then=min1+1;end process m110;-m220:process(clk,sec1,sec2)if min2=)thenmin2elsif

11、 (sec1=min2+1;end process m220;-s110:process(clk)if (sec1=sec1else if sec2=then=sec1+1;end process s110;-s220:if sec2=sec2else sec2LED11000000end case;case hou2 isLED2case min1 isLED3case min2 isLED4case sec1 isLED5case sec2 isLED6end process disp;-end main;附录二源程序(实验二):-entity number isport(clk:-时钟信

12、号,20Hz mode:in std_logic_vector(1 downto 0);-控制信号,用于选择模式 dire:-方向信号,1为向左,0为向右 seg7:-7段显示控制信号(abcdefg) scan:out std_logic_vector(5 downto 0);-数码管地址选择信号end number;architecture main of number issignal mtcnt:integer range 0 to 3;-移位时间计数器signal flag:integer range 0 to 5;-位置标记signal flag1:integer range 0

13、to 255;signal cnt:signal data:integer range 0 to 9;signal clk1s:std_logic;-1Hz分频,即1svariable count: if count=9 then clk1s=not clk1s; count:=0; else count:=count+1; end if;end process;-模式选择 case mode is when 00mtcntnull; end case;-移位标记process(clk1s)if clk1sevent and clk1s= if count=mtcnt then if flag5 then flag=flag+1; end if; flag1=flag1+1;-数码管动态扫描计数 if cnt=flag then cnt else cntscan000010 when 2=000100 when 3=001000 when 4=s

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1