ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:53.84KB ,
资源ID:19393185      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/19393185.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(中北大学08硬件描述语言试题1文档格式.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

中北大学08硬件描述语言试题1文档格式.docx

1、5、一个信号处于高阻(三态)时的值在VHDL中描述为 Z 。6、将一个信号width定义为一个4位标准逻辑向量为 signal width : std_logic_vector(3 downto 0) 。7、/=是 不相等 操作符,功能是 在条件判断是判断操作符两端不相等 。8、设D0为0, D1为1, D2为, D3为, D3 & D2 & D1 & D0的运算结果是 “0110” ,(D3 or D2)and(D1 and not D0)的运算结果是: 1 。9、赋值语句是(并行/串行) 并行 执行的,if语句是(并行/串行) 串行 执行的。10、请列举三种可编程逻辑器件: EEPROM

2、、 GAL 、 FPGA 。二、 简答(20分,每小题5分)1、简述VHDL程序的基本结构。库 (1)程序包 (2)实体 (3) 结构体 (5) 若答出配置也可加1分2、简述信号与变量的区别。信号延时赋值,变量立即赋值 (2)信号的代入使用 data10011000 end case; else data:00000000 -data = “00000000”; (8) end if; (10) end process;end behave;以上architecture中有哪些错误?请在原程序相应位置改正。四、 编程(共50分,除特殊声明,实体可只写出PORT语句,结构体要写完整)1、用IF语

3、句编写一个二选一电路,要求输入a、b, sel为选择端,输出q。(本题10分)Entity sel2 isPort ( a,b : sel : q : out std_logic);End sel2; (3)Architecture a of sel2 is if sel = 0 then q = a; (6)= b; (9)end a; (10)2、编写一个4位加法计数器VHDL程序的进程(不必写整个结构框架),要求复位信号reset低电平时计数器清零,变高后,在上升沿开始工作;输入时钟信号为clk,输出为q。Process(reset,clk) (2) if reset = 0 then=

4、 “0000”; (4) elsif clkevent and clk = 1 then (6)= q + 1; (9)end process; (10)3、填写完成一个8-3线编码器的真值表(5分),并写出其VHDL程序(10分)。8 -3线编码器真值表enby0y1y210000000000000000010001000001000100000100001100010000100001000001010100000011010000000111xxxxxxxx高阻态entity eight_tri is b: in std_logic_vector(7 downto 0); en: y:

5、out std_logic_vector(2 downto 0) );end eight_tri; (3)architecture a of eight_tri is signal sel: std_logic_vector(8 downto 0); (4) begin sel=en & b; y= “000” when (sel=”100000001”)else “001” when (sel=”100000010”)else “010” when (sel=”100000100”)else “011” when (sel=”100001000”)else “100” when (sel=”

6、100010000”)else “101” when (sel=”100100000”)else “110” when (sel=”101000000”)else “111” when (sel=”110000000”)else (9) “zzz”;4、根据已给出的全加器的VHDL程序,试写出一个4位逐位进位全加器的VHDL程序。(本题15分)library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity adder is port ( a,

7、b,c: carr: inout std_logic; sum: );end adder;architecture adder_arch of adder is sum = a xor b xor c; carr = (a and b) or (b and c) or (a and c);end adder_arch;entity full_add is port ( a,b: in std_logic_vector (3 downto 0); carr: inout std_logic_vector (4 downto 0); sum: out std_logic_vector (3 dow

8、nto 0)end full_add; (5)architecture full_add_arch of full_add iscomponent adderport ( a,b,c: );end component; (10) carr(0) oe= 0 ; we IF(ready=) THEN next_state IF(read_write=read;= write ; WHEN read = 1 ; WHEN write = idle ;=write; END CASE; END PROCESS state_comb; state_clocked:PROCESS(clk) IF clkevent and clk = 1 THEN present_state=next_state; END PROCESS state_clocked;END state_machine;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1