ImageVerifierCode 换一换
格式:DOCX , 页数:16 ,大小:254.48KB ,
资源ID:19384238      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/19384238.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课设论文任意波形发生器Word文档下载推荐.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课设论文任意波形发生器Word文档下载推荐.docx

1、实验心得 18致谢词 19参考文献 20 绪 论随着电子技术的发展,产品的技术含量越来越高,是得芯片的复杂程度越来越高,人们对数万门乃至数百万门设计的需求也越来越多,特别是专门集成电路(ASIC)设计技术的日趋进步和完善,推动了数字系统设计的迅速发展。仅靠原理图输入方式已不能满足要求,采用硬件设计描述语言VHDL的设计方式应运而生,解决了传统用电路原理图设计大系统工程时的诸多不便,成为电路设计人员的最得力助手。设计工作从行为、功能级开始,并向着设计的高层次发展。信号发生器是数字设备运行工作中必不可少的一部分,没有良好的信号发生器,最终会导致系统不能正常工作,更不必谈什么实现其它功能了。不论是处

2、于开发还是故障检修阶段,输出标准且性能优越的信号发生器总是能够带来工作效率的大幅提升。新产品有一个标准的信号源,损坏的系统得到正确校验,不会被一些故障所蒙蔽。在传统的信号发生器中,大都使用分立元件,而且体积庞大携带不便,且大部分只能输出一种波习。在设计领域,不管采用什么技术生产,生产的产品用在哪里,其产品设计的宗旨都是离不开以下几点:实用性高、成本低、可升级、功能完善、可扩展等。使用专门的数字电路设计的信号发生器,设备成本高、使用复杂。基于以上考虑,在中小型数字电路的设计和测试中,迫切需要设计一种小型易用成本低廉的信号发生器。此课题的设计以小型经济、集成度高、性能稳定、方便使用为知道,在功能上

3、力求完善实用,同时兼顾商业价值与应用价值的体现。 第一章 系统设计采用EDA技术利用MAX+PLUS软件平台,设计的多功能波形发生器系统,大大简化其结构,降低成本,提高了系统的可靠性和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的波形。2设计的意义 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛地应用。凡是产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量参数。可见

4、,信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。它能够产生多种波形,如三角波、矩形波(含方波)、正弦波等,在电路实验和设备检测中具有十分广泛地用途。例如,在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的信号发生器。本设计采用EDA来设计制作多功能信号发生器。该信号发生器可以产生正弦波、三角波、方波等波形。

5、第二章 系统设计方案1系统框图图1.任意波形信号发生器系统框图2系统电路图 图2.任意波形信号发生器系统电路图3基本工作原理将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。选择八种基础波形为设计与实现的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只

6、有一个输入为时钟脉冲,其他三个模块则输入始终为0。在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。对于频率的选择可以选择分频器,同时也可以选择计数器,本实验采用的是计数器以实现分频的效果,输出分别为二分频,四分频,八分频,十六分频用以实现不同的频率。幅度调节可以使用lpm_divide,可以实现八种不同的幅度调节。第三章单元电路模块源程序及功能1分频模块以下为分频模块(CT74161)的VHDL语言编程源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.A

7、LL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CT74161 ISPORT(clk : IN STD_LOGIC;clk_div2 : OUT STD_LOGIC;clk_div4 :clk_div8 :clk_div16 : OUT STD_LOGIC);END CT74161;ARCHITECTURE rtl OF CT74161 ISSIGNAL count : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(clk)IF (clkEVENT AND clk=1) THENIF(count=1111Count 0)

8、;ELSE= count +1;END IF ;END PROCESS;clk_div2 = count(0);clk_div4 = count(1);clk_div8 = count(2);clk_div16 = count(3);END rtl;在MAX+PLUSII下得到的电路模块图形:图1软件仿真数据: 图 22频率选择模块以下为频率选择模块(tiaopin)的VHDL语言编程源程序library ieee;use ieee.std_logic_1164.all;entity tiaopin is port(s1,s0: in std_logic; a,b,c,d: y: out st

9、d_logic);end tiaopin;architecture one of tiaopin is signal s: std_logic_vector(1 downto 0); signal y_temp: std_logic; begin sy_tempy=X; end case; end process; y=y_temp;end one;在MAX+PLUS下得到的电路模块图形:图33波形选择模块以下为波形选择模块(decoder)的VHDL语言编程源程序entity DECODER is port(a0,a1,a2,s0,s1,s2:in std_logic; y0,y1,y2,y

10、3,y4,y5,y6,y7:out std_logic);end DECODER;architecture ymq of DECODER issignal a:std_logic_vector(2 downto 0);begin a=a2&a1&a0;process(a,s0,s1,s2) variable y:std_logic_vector(7 downto 0); if(s2= and s1= and s0=)then case a is when000y:=11111110001111111010101111101101111110111100111011111011101111111

11、01011111111101111111XXXXXXXX else11111111 end if; y0=y(0); y1=y(1); y2=y(2); y3=y(3); y4=y(4); y5=y(5); y6=y(6); y7=y(7); end ymq;图4图 54.输出波形选择模块以下为输出波形模块(lpm)的VHDL语言编程源程序use ieee.std_logic_unsigned.all;entity lpm isport (s1,s2,s3: a,b,c,d,e,f,g,h:in std_logic_vector(7 downto 0); z:out std_logic_vec

12、tor(7 downto 0);end lpm;architecture one of lpm issignal s:s=s3&s2&s1;process (s1,s2,s3,a,b,c,d,e,f,g,h)case s iswhen znull ;end case;end process;图 6图 75.幅度控制模块以下为幅度控制模块(tiaofu)的VHDL语言编程源程序entity tiaofu is port(a,b,c: sel: out std_logic_vector(2 downto 0);end tiaofu;architecture three of tiaofu is b

13、egin sel=a&b&c;end three;在MAX+PLUSII下得到的电路模块图形图7 该模块是通过硬件实现的,实现数模转化,所用的芯片为DAC0832,输入一个八位的数据,输入值的范围为0-255,最高幅度为5V。图87系统总的仿真仿真波形 第四章 实验结果及硬件验证引脚锁定:试验中将脉冲输入选择和波形输出选择的输入端接在一起,以实现同步。接实验箱的开关,实现数字信号对模拟波形的控制。将八位输出端接数模转换模块。其引脚锁定图如下:用导线连接各引脚,并将实验设计下载到试验箱。用示波器观察输出波形。软件模拟数据和示波器显示波形相吻合,能产生八种稳定的波形,具有八种幅度调节和四种频率选择

14、。 图2:实验硬件验证图图3:实验产生正弦波波形图图4锯齿波波形图图4锯齿波点状波形图图6:三角波波形图图7:矩形脉冲波形图图8:方波图9:自己设计任意波形(1)图10:梯形波 实验心得 在这为期一周的课设中,我完成了信号发生器的设计,并能够完成它所需要实现的功能。 通过这次课程设计,是我懂得了理论与实际相结合的重要性。只有理论的只是是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论才能真正学以致用,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中,遇到了许多问题,发现和认识到自己的不足之处,对以前所学过的只是理解得深度不够,掌握得不够牢固。通过这一次的课程设计,对

15、以往所学过的知识有了很好的把握。同时,也感受到用硬件描述性语言的形式进行数字系统的设计方便灵活。利用软件进行编译、优化、仿真,极大地减少了电路的设计时间和可能发生的错误,降低了开发的成本,这种设计方法必将在未来的数字系统中发挥越来越重要的作用。 希望自己在以后的生活中能够多接触这方面知识,可以有更多的机会将理论与实际相结合。 致谢词 首先,我深深地感谢我的老师朱路博士。在这个学期的学习中,朱路老师给予我很多热情的鼓励和悉心的指导。本论文正是在他的指导和帮助下完成的。在论文选题、搜集数据和理论研究方面,朱路老师倾注了很多心血。他严谨的治学作风和渊博的学识将使我受益终生。同时,在这里,我还要真诚地感谢我的同学们。在我的课题研究中,他们提出了很多有益的建议。参考文献1.潘松,黄继业, EDA技术实用教程(第二版) 北京科学出版社.2005.2.曾繁泰 EDA工程理论与实践 电子工业出版社 2004.53.王道先 VHDL电路设计技术 北京国防工业出版社.2004.14.王志鹏.付丽琴. 可编程逻辑器件开发技术MAX+PLUSII.北京国防工业出版社.2005.3

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1