ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:208.35KB ,
资源ID:19270264      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/19270264.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA作业Word格式.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA作业Word格式.docx

1、变量LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COUNT12 ISPORT (CLK,RESET, EN: IN STD_LOGIC; QA,QB,QC,QD: OUT STD_LOGIC);END COUNT12;ARCHITECTURE BEHAVE OF COUNT12 ISBEGIN PROCESS(CLK,RESET)VARIABLE COUNT_4: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF (RESET=0) THEN COUN

2、T_4:=0000; ELSIF(CLKEVENT AND CLK=1)THEN IF (EN= IF (COUNT_4=1011 ELSE=COUNT_4+ END IF; QA=COUNT_4(0); QB=COUNT_4(1); QC=COUNT_4(2); QD=COUNT_4(3); END PROCESS;END BEHAVE;变量的赋值符号“:=”,(程序中点蓝色字体)变量数值的改变是通过变量赋值来实现的。赋值语句右方的表达式必须是一个与目标具有相同的数据类型的数值,这个表达式可以是一个运算表达式,也可以是一个数值。信号定义方法SIGNAL COUNT_4:QA COUNT_4E

3、ND PROCESS;当信号定义了数据类型和表达方式后,在VHDL设计中就能对信号进行赋值了,格式:目标信号=表达式。这里的表达式可以是一个运算表达式,也可以是数据对象(变量、信号或常量)。软件仿真以变量为例a、 运行单击软件上的按钮,编译通过如图1-1图1-1b、查看RTL图c、新建波形文件执行主菜单File下的New命令,在弹出的新建文件对话框中选择“OtherFile”标签。选择途中的VectorWaveformFile。添加仿真信号。得仿真波形如图12图12结果分析:从仿真波形来看,是二进制同步计数器设计通过,EN和RESET都必须是高电平的时候才工作,所以我设置的是整段都为高电平,Q

4、A、QB、QC、QD、它们都是在时钟脉冲来的时候,输出开始计数,从00001011(当到1100是复位)。(图中蓝线处清零)3-8译码器3-8译码器(74LS138)是最常用的一种小规模集成电路,它有3个二进制输入端A、B、C和8个译码输出端Y0-Y7。对输入端A、B、C的每一组取值进行译码,就可以确定Y0-Y7的那一位变为低电平(有效),从而达到译码的目的。3-8译码器还有选通输入端G1、G2、G3,只有在G1=1,G2=0,G3=0时,3-8译码器才进行正常的译码,否则Y0-Y7输出将均为高电平。ENTITY YIMAQI IS PORT(A,B,C,G1,G2,G3:IN STD_LOG

5、IC; Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END YIMAQI;ARCHITECTURE BEHAVE38 OF YIMAQI ISSIGNAL INDATA: STD_LOGIC_VECTOR (2 DOWNTO 0);BEGIN INDATAYXXXXXXXX END CASE;ELSE Y11111111END IF;END BEHAVE38;a、运行按钮,编译通过如图1- 3遇到的问题:VHDL标识符必须以字母开头,不能以下划线和数字开头。变量的赋值符号“:=”和信号赋值符“=”的区别(上面题中已经分析)。注意CASE语句,常用来描述总线、编码、译码等的行为。如图1-4图1-4在G1=1,G2=0,G3=0时,Y0-Y7输出和设计结果一样(低电平有效)。总结:通过对VHDL语言的描述设计,把VHDL的软件设计与现实硬件挂钩,是软件转化为硬件电路的关键步骤。通过本次实验,我更加了解VHDL工具的强大作用,在今后的学习中,我会更进一步的去了解。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1