ImageVerifierCode 换一换
格式:DOCX , 页数:28 ,大小:2.55MB ,
资源ID:1914732      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/1914732.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(DDS实验报告南理工优秀.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

DDS实验报告南理工优秀.docx

1、DDS实验报告南理工优秀DDS实验报告-南理工-优秀电子线路课程设计DDS直接频率合成器学院:电子信息与光电技术学院学号:姓名:指导老师:谭雪琴完成时间:2014.11.242014.11.28摘 要本次实验利用DDS技术原理,设计了一个基于QuartusII7.1软件和SmartSOPC实验系统的直接数字频率合成器,能够输出多种波形:正弦波、余弦波、方波、三角波、锯齿波。并且可以通过开关输入四位的频率控制字来改变采样频率和输入四位的相位控制字来改变波形的相位。添加测频和译码显示模块,可以将测得输出波形的频率、频率控制字及相位控制字通过数码管显示出来。然后利用正弦信号的对称性质,实现ROM的空

2、间节省。最后利用AM调制原理设计实现基于DDS的AM调制功能。在利用Quartus进行相应的设计、仿真、调试后下载到SmartSOPC实验实现D/A转换,验证实验的准确性,并用示波器观察输出波形。关键词:直接数字频率合成器 频率控制 相位控制 测频节省空间ROM AM调制 AbstractA direct digital frequency synthesizer(DDS) based on QuartusII software and SmartSOPC experimental system which can output a variety of waveforms: sine, co

3、sine wave, square wave, triangle wave,sawtooth wave was designed. And it can input four-width frequency control word using switch to change the sampling frequency and four-width phase control word to change the phase of the waveform. Also the frequency measuring and display decoding module are added

4、,so the frequency of the output waveform,frequency control word and phase control word can be measured and displayed by the digital display. Based on the basic design,I also design extra functions,including ROM memory saving by utilizing the symmetry of sine and AM modulation based on DDS .After all

5、 the work finished on computer, I downloaded the final circuit to SmartSOPC experiment system to realize the transformation of D/A ,and thentest the accuracy of the design by meansof oscilloscope observing the wave forms.Key words:DDS Frequency-controlling Phase-controlling Frequency-measuringROM me

6、mory saving AM modulation一、设计要求说明.4二、方案论证51、DDS概念直接数字频率合成器(Direct Digital Frequency Synthesizer)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。2、DDS的组成及工作原理1)频率预置与调节电路作用:实现频率控制量的输入;不变量K被称为相位增量,也叫频率控制字。2)累加器 相位累加器的组成= N位加法器+N位寄存器;作用:在时钟的作用下,进行相位累加。当相位累加器累加满量时就会产生一次溢出,完成一个周期性的动作。DDS的输出频率为:f0=fCK/2N ;DDS输出的最低频率:K

7、=1时,fC/2N DDS输出的最高频率:由Nyquist采样定理决定,即fC/2;K的最大值为2N-1,只要N足够大,DDS可以得到很细的频率间隔。 要改变DDS的输出频率,只要改变频率控制字K即可。3)波形存储器作用:进行波形的相位幅值转换。原理:ROM的N位地址 : 把0360的正弦角度离散成具有2N个样值的序列ROM的D位数据位:把2N个样值的幅值量化为D位二进制数据(有符号数)4)D/A转换器D/A转换器的作用:把已经合成的正弦波的数字量转换成模拟量。 5)低通滤波器 D/A转换器的作用:滤除生成的阶梯形正弦波中的高频成分,将其变成光滑的正弦波。 三、基础电路子模块设计原理1、分频电

8、路通过分频电路将48MHz的脉冲分为1MHz,1KHz,1Hz和0.5Hz。其中,1MHz脉冲频率为累加器电路中的寄存器时钟信号、1KHz脉冲频率为动态译码显示电路提供频率,1Hz脉冲频率为频率、相位控制电路、模16电路提供频率,0.5Hz脉冲频率为测频电路提供频率。注意:因为太多的异步会导致最后实际分频出的信号产生误差,所以将EDA2中通过2分频、3分频级联产生48分频,用10分频级联产生1000分频的方案改成同步置数,直接搭出48分频,1000分频。12分频电路使用D触发器,把D与Q非连接在一起,并保证其他端口处于正常工作状态,在一个时钟范围内变化两次,达到2分频的目的。仿真结果:248分

9、频电路用两块74160来实现十进制计数,左别的一块记个位,右边的记十位。将十位的Qc作为输出端,并且在记到48即个位QaQbQc和十位Qc均为1时,重新置数0。仿真结果:封装后,如图:31000分频电路3片74160分别表示个位、十位、百位,以百位的Qd作为输出端。仿真结果:封装后,如下图:分频总电路图:仿真结果:封装后,如下图:2、频率(相位)预置与调节电路的设计 频率预置与调节电路由1片模16的74161计数器组成,1Hz信号输入让其变化。通过开关K1和K2分别控制清零和保持端,以便计数到需要值时保持或清零。频率频率预置与调节电路实现频率控制量(步长)的输入。相位预置电路同频率预置电路完全

10、相同,用K3和K4分别控制相位清零和保持。电路图如下图:仿真结果:封装后,如下图:其中,keep为频率保持端 clear为频率清零端 1hz为1hz信号输入端 K3.0为频率控制字的大小3、累加器的设计累加器由3片7483全加器和3片74175寄存器构成。每来一个时钟脉冲,加法器就将步长与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端。寄存器将加法器在上一个时钟作用后所产生的相位数据反馈到加法器的输入端;以使加法器在下一个时钟作用下继续与频率控制字进行相加。这样,相位累加器在时钟的作用下,进行相位累加。当相位累加器达到满量时就会产生一次溢出,完成一个周期性的动作。因为RO

11、M中设定的相位取样地址为12位,而本实验中我们取频率控制字K=4,所以将频率步长k3.0加在低4位,高8位置0。第一片7483产生的进位进入到第二片7483进行累加,依次往后从而实现累加的过程。电路图如下图:仿真结果:封装后,如下图:4、相位控制电路相位控制电路实现对产生波形相位进行控制。该电路由1片74175和3片7483构成的12位全加器组成。相位控制字从74175输入端输入,送入12位加器器7483的高四位,低8位置零,这样相位的变化能更明显。电路图如下:仿真结果:封装后,如下图:5、波形存储器ROM的设计波形数据表ROM 用于存放波形数据,这里用它存放正弦波、余弦波、三角波、方波、锯齿

12、波的波形数据。每一位地址对应一个数值,输出为10 位。ROM 中必须包含完整的波形采样值,本实验采样212 个点。用相位控制电路输出的数据作为波形存储器的取样地址,进行波形的相位幅值转换,即可在给定的时间上确定输出的波形的抽样幅值。10 位二进制数值固化在ROM 中,按照地址的不同可以输出相应相位的信号的幅值。利用下面的波形函数,通过C+编程得到生产ROM的*.mif文件。正弦波函数:存储数据=round(sin(n*2/4096)*512+512余弦波函数:存储数据=round(cos(n*2/4096)*512+512 round(n/2)+512,0n1023三角波函数:存储数据= 10

13、24-round(n/2)+512,1023n3071 round(n/2)-2048+512,3071n4095锯齿波函数:存储数据=round(n/4)方波函数:存储数据= 1023,0n2047 0,2047n4095建立ROM封装电路步骤如下:1、 新建Block Diagram/Schematic File文件,在名称栏输入lpm_rom,并点击OK;2、 在输出文件类型中选择VHDL,填写对应ROM文件的文件名,点击Next;3、 Wide和Memeory分别设置为10bit和4096words,点击Next;4、 在File name中选择对应*.mif文件路径,点击Next,再

14、点击Finish,结束创建。以上5种不同波形的ROM的创建方式均相同,可以得到封装好的ROM如下图所示:6、节省ROM电路节省ROM 空间电路实现用四分之一的波形数据产生整个周期的波形的电路。将正弦波0 / 2波形数据(1024 个)存入ROM中,为了由仅有的1/4 波形产生整个周期的波形,采用地址取反和输出取反的方法,最后通过选择电路将4 个不同的1/4 波形组合起来产生一个完整周期的正弦波。取反电路:地址取反:输出取反:地址输出取反:节省ROM电路:(为避免输出波形有毛刺,所以设计了两个寄存器来消除毛刺)封装后,如下图:7、测频电路 测频电路实现对正弦波输出频率的测量,该电路的输出端接到译

15、码显示电路中,使测频的数据在数码管上显示出来。ROM中存有正弦波的量化的幅度值,取值变化范围为01023。幅度值输出的最高位每个周期由0-1 的变化只有一次, 因此可以利用这个变化来测试频率。只要测试出一秒中其变化的次数即是其输出正弦波的频率。利用一个计数器记录下这期间的脉冲个数,就可以实现测频。由于采用频率字采用四位,基本脉冲频率为1MHz,所以这个信号源提供的正弦波的频率范围为03662Hz。 测频电路的电路图如下:仿真结果:封装后,如下图:8、不同波形选择电路 波形选择电路实现在余弦、三角波、方波和锯齿波中选择一个波形输出,该电路由5片双4选1数据选择器74153组成。通过开关K5和K6控制数据选择器地址端,来选择波形。电路如图:仿真结果:封装后,如下图:9、模16电路两片74160构成模16计数器,来记频率和相位的步长,然后通过译码显示电路显示。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1