ImageVerifierCode 换一换
格式:DOCX , 页数:18 ,大小:84.35KB ,
资源ID:18873880      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/18873880.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(电梯控制器课程设计Word文档下载推荐.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

电梯控制器课程设计Word文档下载推荐.docx

1、如果电梯没有接收到请求信号,则电梯在一层待机,其分析图如图2所示。图2-1 电梯处于一层时运行情况分析处于二层时,电梯则可能出现以下三种情况: 电梯没有接收到梯内梯外的任何请求信号时,则电梯在二层待机; 电梯接收到上升请求信号,进入预上升状态; 电梯接收到下降请求信号,进入预下降状态,其分析图如图3所示。图2-2 电梯处于二层时的运行情况分析处于三层时,不管是梯内梯外,电梯都只能接收到下降的请求信号。此时,电梯就进入预下降状态,准备作下降运行。如果电梯没有接收到请求信号,则电梯在三层待机,其分析图如图4所示。图2-3 电梯处于三层时的运行可能分析(3)对电梯开门、关门等内部信号处理的分析,其流

2、程如图6所示。图2-4 电梯内外信号处理当电梯接收到请求信号后,运行到发出请求的楼层。运达后,开门使能信号促使电梯开门载客,同时驱动关门延时信号。在电梯进行完关门倒数计后,关门使能信号将促使电梯关门状态,然后上升或下降运行。2.2.2 电梯整体设计电梯控制器采用状态机来实现,思路比较清晰。可以将电梯等待的每秒钟以及开门、关门都看成一个独立的状态。由于电梯又是每秒上升或下降一层,所以就可以通过一个统一的1秒为周期的时钟来触发状态机。根据电梯的实际工作情况,可以把状态机设置10个状态,分别是“电梯停留在第1层”、“开门”、“关门”、“开门等待第1秒”、“开门等待第2秒”、“开门等待第3秒”、“开门

3、等待第4秒”、“上升”、“下降”和“停止状态”。各个状态之间的转换条件可由上面的设计要求所决定。电梯初始状态为一层,处在开门状态,开门指示灯亮。一层电梯入口处设有上楼请求开关,二层电梯入口设有上、下楼请求开关,三层电梯入口处设有下楼请求开关,电梯内部设有乘客到达楼层的停站请求开关及其显示。设置电梯所处位置指示(用数码管表示)及电梯上升或下降指示。电梯到达有停站请求的楼层后,电梯门打开,开门指示灯亮。开门4秒后,电梯门关闭,开门指示灯灭,电梯继续运行,直至执行完最后一个请求信号后停在当前层。电梯控制系统能记忆电梯内外的请求信号,并按照电梯运行规则工作,每个请求信号执行完毕后随即清除。2.3 端口

4、设计说明(1)由功能要求得到本程序设计的端口必须包括:时钟信号(fclk);一楼电梯外的上升请求信号(up1);二楼电梯外的上升请求信号(up2);二楼电梯外的下降请求信号(down2);三楼电梯外的下降请求信号(down3);电梯内部到达楼层的请求信号(stop1、stop2、stop3);电梯内部关门请求信号(close);所有输入信号等于1,表示有请求,等于0,表示无请求;电梯外部上升指示灯(uplight)和下降请求指示灯(downlight),它们与up1、up2、down2、down3信号相对应;电梯内部乘客到达楼层的停站请求灯(stoplight),该信号与stop1、stop2

5、和stop3信号相对应;电梯运行模式指示(udsig),1代表下降模式,0代表上升模式;电梯所在楼层指示(position),表示电梯在对应楼层;电梯所在楼层数码管表示(dout),该信号与position信号相对应;电梯门状态灯 (doorlight),1表示开门,0表示关门。(2)该设计中有8个LED等:电梯外部上升指示灯(uplight),一楼二楼各一个;下降请求指示灯(downlight)二楼三楼各一个;电梯内部乘客到达楼层的停站请求灯(stoplight)分别指示一楼二楼三楼;电梯门状态灯 (doorlight)指示电梯门的开关。2.4 程序设计代码由三大部分组成:库声明,实体,结构

6、体。2.4.1 库声明部分调用VHDL库,通过library语句本程序应用了VHDL库中的”通用ieee库”和”标准std库”。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;2.4.2 实体部分实体部分列出了代码所用到的所用输入输出端口。主控制器系统由VHDL语言实现,其输入输出端口定义如下: entity flift isport(fclk,up1,up2,down2,down3,stop1,stop2,stop3,close:

7、in std_logic;uplight:buffer std_logic_vector(2 downto 1); -电梯外部1.2楼上请求downlight:buffer std_logic_vector(3 downto 2); -电梯外部2.3楼下请求stoplight:buffer std_logic_vector(3 downto 1); -电梯内部请求 udsig:buffer std_logic; -电梯的状态,0代表(预)上升,1代表(预)下降 position:buffer integer range 1 to 3; -电梯所在楼层 doorlight:out std_log

8、ic; -门灯,开门灯亮,关门等灭 dout:out std_logic_vector(3 downto 0); -用以实现楼层数字显示end flift;2.4.3 结构体部分 结构体部分共使用了分频进程,电梯状态进程,读按键、控制指示灯进程和楼层显示进程4个进程。使用状态机来实现电梯功能。在电梯状态进程中,在电梯的上升状态中,通过对信号灯的判断,决定下一个状态是继续上升还是停止;在电梯下降状态中,也是通过对信号灯的判断,决定下一个状态是继续下降还是停止;在电梯停止状态中,通过对信号灯的判断,决定电梯是上升、下降还是停止。状态机进程的运行基于电梯时钟fliclk,此时钟周期是外部时钟周期的十

9、六倍;按键设定进程基于按键时钟buttonclk,此时钟的周期为外部时钟周期的两倍。按键时钟周期比电梯时钟周期短,这就意味着按键设定进程比状态机进程快,这就保证了每一次按键都能扫描并保留而不会被状态机进程中的清零程序消除。按键后产生的点亮的信号灯(逻辑值为1)用于作为状态机进程中的判断条件,而clearup和cleardn信号为逻辑l使得相应的信号灯熄灭。分频进程:该进程的目的是产生不同频率的两个时钟,电梯控制时钟fliclk和按键控制时钟buttonclk。 process(fclk) -产生电梯控制时钟fliclk和按键控制时钟buttclk begin if (fclkevent and

10、 fclk=1) then q=q+1; end if; buttonclk=q(0); fliclkdoorlight=; position=1; pos:stateclearup0 cleardn if(close=) then state=doorclose; else state=wait2;开门等待2秒:如果没有请求,则下一状态为wait3。开门等待3秒:如果没有请求,则下一状态为wait4。开门等待4秒:该状态的下一状态为doorclose。(2)上升模式当处于上升模式,同时电梯位于三层,如果此时电梯的内部与外部都没有请求,电梯转为下降模式,并进入下一状态doorclose;如果此

11、时电梯内部有在三层下的请求或三层外部有下楼请求,电梯进入下一状态dooropen;否则电梯转为下降模式,并进入下一状态down。 if (position=3) then if (stoplight=000 and uplight=00 and downlight= ) then udsig state elsif(stoplight(3)= or downlight(3)=) then state=dooropen; else udsigposition=position+1;=pos+1; if (pos=2 and (uplight(2)= or downlight(2)=or stop

12、light(2)= )=stop; elsif(pos=2 and (stoplight(3)=) 下降:电梯所在楼层数减一。如果此时电梯在二层同时一层外部有上升的请求或电梯内部有在一层下的请求,则进入下一状态down;停止:该状态的下一状态为dooropen。开门状态:电梯开门同时清除上升下降的请求,并转入下一状态wait1,其它的状态都转入下一状态stop。如果清除上升的信号有效,则电梯内部相应楼层停止信号清除,同时电梯外部相应楼层上升信号清除;否则当有上升请求时相应的电梯外部上升指示灯亮。 if(clearup= then stoplight(position) uplight(posi

13、tion) else if(up1=)then uplight(1) elsif(up2=)then uplight(2)如果清除下降的信号有效,则电梯内部相应楼层停止信号清除,同时电梯外部相应楼层下降信号清除;否则当有下降请求时相应的电梯外部下降指示灯亮。如果停止信号有效,则相应的电梯内部停站请求灯亮。if (stop1=) then stoplight(1) elsif (stop2=) then stoplight(2) elsif (stop3=) then stoplight(3)3 系统仿真分几种情况分析:(1)在三楼乘坐电梯到一楼图3-1 系统仿真图(1)初始时电梯停在一层pos

14、ition=1,上升模式udsig=0并保持开门状态,doorlight=1。外部没有请求时,电梯等待四秒后关门,doorlight变为0。三层有下楼请求并要求停在一层则先down3置1,downlight(3)=1。由于三层是下楼请求,而电梯此时是上升模式udsig=0,则电梯到二层时不停position=2,直接运行到三层position=3,开门doorlight变为1,down3清零,downlight(3)=0。进入电梯后把stop1置1,stoplight(1)=1,等待4秒后自动关门doorlight变为0,转为下降模式udsig=1,下降到二楼position=2,继续下降到一

15、楼position=1,stoplight(1)=0,开门doorlight=1,等待4秒后自动关门doorlight变为0。 如图3-1所示(2)在二楼乘坐电梯到三楼图3-2 系统仿真图(2)二层有上楼请求并要求停在三楼则先把up2置1,uplight(2)=1。由于二层是上楼请求,而电梯此时是上升模式udsig=0,则电梯到二层时停position=2,开门doorlight变为1,up2清零,uplight(2)=0,进入电梯后把stop3置1,stoplight(3)=1,等待4秒后自动关门doorlight变为0,继续上升到3楼position=3,stoplight(1)=0,开门

16、doorlight=1,等待4秒后自动关门doorlight变为0。 如图3-2所示(3)在三楼乘坐电梯到二楼图3-3 系统仿真图(3)三层有下楼请求并要求停在二层则先down3置1,downlight(3)=1。由于三层是下楼请求,而电梯此时是上升模式udsig=0,则电梯到二层时不停position=2,直接运行到三层position=3,开门doorlight变为1,down3清零,downlight(3)=0,进入电梯后stop2置1,stoplight(2)=1,等待4秒后自动关门doorlight变为0,转为下降模式udsig=1,下降到二楼position=2,stoplight

17、(2)=0,开门doorlight=1,等待4秒后自动关门doorlight变为0。 如图3-3所示(4)在一楼乘坐电梯到二楼图3-4 系统仿真图(4)一层有上楼请求并要求停在三楼则先up1置1,uplight(1)=1。由于一层是上楼请求,而电梯此时是上升模式udsig=0,则电梯开门doorlight变为1,up1清零,uplight(1)=0。进入电梯后把stop2置1,stoplight(2)=1,等待4秒后自动关门doorlight变为0,上升到2楼position=2,开门doorlight=1,stoplight(2)=0,等待4秒后自动关门doorlight变为0。 如图3-4

18、所示(5)在二楼乘坐电梯到一楼图4-5 系统仿真图(5)二层有下楼请求并要求停在一层则先down2置1,downlight(2)=1。由于二层是下楼请求,而电梯此时是上升模式udsig=0,则电梯到二层时停position=2,开门doorlight变为1, down2清零,downlight(2)=0,进入电梯后stop1置1,stoplight(1)=1,等待4秒后自动关门doorlight变为0,转为下降模式udsig=1,下降到一楼position=2,stoplight(1)=0,开门doorlight=1,等待4秒后自动关门doorlight变为0。 如图3-5所示4小结我这篇课设

19、基于VHDL语言设计的三层电梯控制器,并进行了仿真。在参考了许多相关资料后,充分理解了三层电梯的设计要求,基本上完成了任务要求:但本代码中可能仍存在一些不能解决的实际问题,许多工程实际问题不能完全用理论知识去解决,需要不断吸取实践经验,寻找解决问题方法。用VHDL硬件描述语言的形式来进行三层电梯控制器的设计方便灵活,利用EDA软件进行编译优化仿真极大的减少了电路设计时间和可能发生的错误,降低了开发成本,相信这种设计方法将在未来的数字系统设计中发挥越来越重要的作用。这一周的设计过程中,除找资料外,大多时间都是在电脑上进行的,通过反复的编译,仿真,不断试验来实现所得结果。在设计上,运用了EDA自上

20、而下的设计思想,逐步完善所设计功能,同时,用到VHDL语言中的状态机,多进程,CASE、IF.ELSE 等语句,才达到以上结果。设计过程中也遇到了许多问题,如波形仿真上信号的设置等。这个课程设计还有很多不足之处:1、电梯各个进程依赖于2个不同的时钟,这样做虽然比较精确但是显得有些多余。2、电梯控制程序只实现了三层楼电梯的控制,这种电梯在现实生活中应用很少,而且此程序不方便向更多层电梯控制器程序扩展。通过此次的课程设计,我学到了很多知识,包括QuartusII和ModelSim的使用和仿真,已经如何真正的运用学过的EDA知识,完成具有意义性的系统设计。培养了自学能力和动手能力。并且由原先的被动的接受知识转换为主动的寻求知识,这可以说是学习方法上的一个很大的突破。通过课程设计,我学会了如何将学到的知识转化为自己的东西,学会了怎么更好的处理知识和实践相结合的问题。参考文献1 潘松 黄继业 EDA技术实用教程 科学出版社

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1