ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:388.59KB ,
资源ID:18669103      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/18669103.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(触发器Word文档下载推荐.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

触发器Word文档下载推荐.docx

1、首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开发平台中。在硬件实现中,要求:1. 用拨动开关实现触发器的控制信号(r、s、t、j、k、d):2. 用实验平台的按键实现时钟信号(cp);3. 用LED阵列实现状态输出的显示:注:要求用LED3、LED11分别显示RS触发器的q_rs和qn_rs;用LED4、LED13分别显示T触发器的q_t和qn_t;用LED5、LED13分别显示JK触发器的q_jk和qn_jk;用LED6、LED14分别显示D触发器的q_d和qn_d;用LED1、LED9、LED8、LED16同时显示无效状态。组别_同组同学 实验日

2、期2010年11月7日 实验室名称_成绩_三、实验内容:1. 打开QuartusII软件,建立一个新的工程:1) 单击菜单FileNew Project Wizard2) 输入工程的路径、工程名以及顶层实体名。3) 单击Next按钮,由于我们建立的是一个空的项目,所以没有包含已有文件,单击Next继续。4) 设置我们的器件信息:5) 单击Next,指定第三方工具:这里我们不指定第三方EDA工具,单击Next后结束工程建立。2. 建立VHDL文件:1) 单击FileNew菜单项,选择弹出窗口中的VHDL File项,单击OK按钮以建立打开空的VHDL文件,注意此文件并没有在硬盘中保存。2) 在编

3、辑窗口中输入VHDL源文件并保存,注意实体名、文件名必须和建立工程时所设定的顶层实体名相同。3) 编译工程单击ProcessingStart Compilation开始编译,编译过程中可能会显示若干出错消息,参考提示原因对程序进行修改直到编译完全成功为止。3. 建立矢量波形文件1) 单击FileNew命令,在弹出的对话框中选择Other Files页面中的Vector Waveform File项,打开矢量波形文件编辑窗口:2) 双击窗口左边空白区域,打开Insert Node or Bus对话框:3) 单击Node Finder按钮,打开以下对话框,选择Filter下拉列表中的Pins:al

4、l,并点击List按酒以列出所有的端口,通过按钮把这些端口加入到右面的窗口中,单击OK完成端口的添加。4) 回到波形编辑窗口,对所有输入端口设置输入波形,具体可以通过左边的工具栏,或通过对信号单击鼠标右键的弹出式菜单中完成操作,最后保存次波形文件。4. 进行功能仿真1) 单击AssignmentsSettings,在弹出对话框中做以下设置:如图所示,Simulation mode设置为Functional,即功能仿真。指定仿真波形文件后单击OK完成设置。2) 单击ProcessingGenerate Functional Simulation Netlist以获得功能仿真网络表。3) 单击Pr

5、ocessingStart Simulation进入仿真页面:此仿真中不包含延迟信息。根据仿真结果可以修改程序以期达到实验要求。5. 进行时序仿真如果功能仿真无误,可进入时序仿真,时序仿真是增加了相关延迟的仿真,是最接近实际情况的仿真。如图所示,Simulation mode设置为Timing,即时序仿真。2) 单击ProcessingStart Simulation进入仿真页面,如果在时序上也没有问题,就可以进入下载工作了。6. 器件的下载1) 指定器件引脚:单击AssignmentsAssignment Editor,打开引脚分配编辑框:如图所示,为每一个端口指定器件的引脚,在引脚指定过程

6、中需要参照开发系统所给的I/O端口映射表,通过开发平台上每个I/O器件附近的I/O编号,在映射表中找到相应的引脚名,填入上图所示的对话框即可。2) 连接下载线通过USB-blaster下载电缆连接PC机和开发平台,如果首次使用下载电缆,此时操作系统会提示安装驱动程序,此USB设备的驱动处于QuartusII安装目录中的driversusb-blaster中。3) 单击ToolProgrammer打开下载窗口:通过对话框中的Hardware Setup按钮,选择下载设备:USB-Blaster。参照上图所示的选项,点击Start完成下载。四、实验条件:1. WindowsXP操作系统2. Qua

7、rtusII EDA开发系统3. 杭州康芯SOPC硬件开发平台五、实验原理: 通过异步清零方式(r=0,s=1),四个触发器的输出都为0。 通过异步清零方式(r=1,s=0),四个触发器的处于状态1。 当r、s端同时为0时,触发器处于无效状态,触发器所有的输出为高电平,对应LED1、LED9、LED8、LED16被点亮以表示此无效状态。 当r、s端同时为高电平时,可以通过cp端(按键1)来改变T、JK和D触发器的状态,RS触发器的状态由其前一有效状态决定。六、源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsi

8、gned.all;entity trigger_all is port(s,r,j,k,cp,d,t:in std_logic; q_rs,qn_rs:out std_logic; q_jk,qn_jk: q_d,qn_d: q_t,qn_t: err:out std_logic_vector(3 downto 0);end entity trigger_all;architecture one of trigger_all is signal q_temp_rs,qn_temp_rs, q_temp_jk,qn_temp_jk, q_temp_d,qn_temp_d, q_temp_t,qn

9、_temp_t:std_logic;beginprs:process(r,s) begin if(r=0and s=1)then q_temp_rs=; qn_temp_rs elsif(r= and s= end if;=s nand qn_temp_rs;=r nand q_temp_rs; end process prs; pjk:process(r,s,j,k,cp) q_temp_jk qn_temp_jk qn_temp_jk elsif(cpevent and cp= if(j= and k= q_temp_jk elsif(j=) then=not q_temp_jk;=not

10、 qn_temp_jk;end process pjk;pd:process(r,s,d,cp) if(r= q_temp_d qn_temp_d elsif(r= elsif(cp=d;=not d;end process pd;pt:process(r,s,t,cp) q_temp_t qn_temp_t q_temp_t qn_temp_t elsif(cp if(t=not q_temp_t;=not qn_temp_t; end if;end process pt;perr: err=0000end process; q_rs=q_temp_rs; qn_rs=qn_temp_rs;

11、 q_jk=q_temp_jk; qn_jk=qn_temp_jk; q_d=q_temp_d; qn_d=qn_temp_d; q_t=q_temp_t; qn_t=qn_temp_t;end architecture one;七、实验结果与分析:1.功能仿真:2.时序仿真:3. 硬件结果照片八、讨论和回答问题及体会:1.此实验程序中大量使用了if语句,在编辑时要多加注意其与end if之间的对应关系,防止漏写!2.信号与变量值带入有区别。在变量的赋值语句中,该语句一旦执行,其值会立即被赋予变量,在执行下一条语句时,该变量的值就为上一句新赋的值。而对于信号代入语句,该语句即使被执行也不会立即代入,下一条语句执行时,仍使用原来的信号值。故在程序中计数时,要使用变量,否则会报错,因为使用信号值,则其代入的值将会在process结束后代入,计数不正确。3. 通过VHDL语言的编写进一步了解了触发器的功能实现原理,同时有感于VHDL语言功能的强大。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1