ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:195.76KB ,
资源ID:18564516      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/18564516.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(电子秒表系统设计Word格式文档下载.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

电子秒表系统设计Word格式文档下载.docx

1、1. 设计数码管显示的秒表。2. 能够准确的计时并显示。3. 开机显示00.00.00。4. 用户可以随时清零、暂停、计时。5. 最大记时59分钟,最小精确到0.01秒。二、方案论证方案一 采用8051IP核设计。用FPGA构成一个8051单片应用系统具有如下优缺点:1、拥有标准8051完全兼容的指令系统的CPU;2、256字节部RAM;3、4K字节程序ROM;4、每一此编译下载后都能根据需要更新ROM中的程序,所以该单片机的实现和使用如同89C51/52一样方便。缺点:1. 设计烦琐可以直接用8051单片机代替。2. 程序复杂。方案二 采用芯片EP1C12Q240C8、共阴七段数码管、按键开

2、关、发光二极管设计。EP1C12Q240C8是Cyclone器件,Cyclone可以最多支持129个通道的LVDS和RSDS。Cyclone器件的LVDS缓冲器可以支持最高达640Mbps的数据传输速度。与单端的I/O口标准相比,这些显置于Cyclone器件部的LVDS缓冲器保持了信号的完整性,并且有更低的电磁干扰、更好的电磁兼容性(EMI)及更低的电源功耗。采用此芯片设计简单,不需要用汇编语言编写程序,直接用VHDL编写即可以. 缺点:与8051IP核相比精确度不是很高。但是对于秒表系统设计可以满足要求。三、设计总体框图三、硬件电路设计与程序设计 本设计采用模块化设计,共分为顶层文件(mse

3、cond)、控制秒模块(second)、控制分钟模块(minutes)、LED模块(alert)、数码管扫描模块(zhishi)、显示驱动模块(deled)六个模块。其中LED模块可以去掉,不影响秒表正常运行以下对各个模块一一描述。1. 顶层文件对这个功能模块用一个进程语句描述。clk、reset和调秒的setsec为输入信号程序代码如下: LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY msecond IS PORT( clk, reset,setsec : IN STD_LOGI

4、C; ensec : OUT STD_LOGIC; daout: OUT std_logic_vector (6 downto 0);END entity msecond ;ARCHITECTURE fun OF msecond IS SIGNAL count: STD_LOGIC_VECTOR( 6 downto 0);BEGIN daout = count; process ( clk , reset , setsec ) begin - enmsec =k; if (reset=0) then count = 0000000; elsif (setsec = ensec = clk; e

5、lsif (clk event and clk=1 if (count(3 downto 0)=1001 if (count 16#60#) then if (count=1011001 ensec = count= ELSE count=count+7; end if; else count end if; elsif (count 16#60#) then = count+1; after 100 ns; end process;END fun;2.控制秒模块LIBRARY ieee;ENTITY second IS clk, clk1,reset,setsec : enmin : out

6、 std_logic_vector (6 downto 0);END entity second ;ARCHITECTURE fun OF second IS process ( clk,reset,setsec ) enmin = clk1; elsif (clk event and clk= enmin else elsif(count 16#60#) then = count + 1; else count3.控制分钟模块4.LED模块该模块是有三个LED灯组成,三个LED轮流亮,起到验证秒表的功能。程序代码如下:ENTITY alert IS clk : dain : IN STD_L

7、OGIC_VECTOR(6 DOWNTO 0); lamp : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);END alert ;ARCHITECTURE fun OF alert IS signal count : std_logic_vector( 1 downto 0);lamper:process(clk) begin if (rising_edge(clk)then if (count 10 if (count =00 lamp 001 ; elsif (count = 01010 elsif(count=) then 100 end if; count els

8、e count end if; end process lamper;END fun ;5.数码管扫描模块该模块的功能是选择个计数端口来的数据,当相应的数据到来时数据选择器选择器数据后输出给数码管,并由数码管显示。use ieee.std_logic_arith.all;ENTITY zhishi IS clk1, reset: msec,sec : IN STD_LOGIC_VECTOR(6 downto 0); minute : in std_logic_vector (5 downto 0); daout : OUT STD_LOGIC_vector (3 downto 0); sel

9、: out std_logic_vector ( 2 downto 0);END zhishi;ARCHITECTURE fun OF zhishi IS STD_LOGIC_vector ( 2 downto 0); sel 101 case count is when = msec(3 downto 0); daout(3) = daout(2 downto 0) daout(3 downto 2) daout(1 downto 0) = minute(5 downto 4); end case;end fun;6.数码管显示模块数码管驱动电路,驱动数码管发光。ENTITY deled I

10、S PORT(num: IN std_logic_vector( 3 downto 0); led: OUT std_logic_vector(6 downto 0);END deled;ARCHITECTURE fun OF deled IS led 0111111 when num= 0000 0000110000110110110010 1001111001111001100100110110101011111101011000001110111111111110001101111111011110101111100101101110011100110001111011111001111

11、011100011111 END fun;四、编译仿真下面使用Quartus II6.0 对本设计进行编译和仿真。首先创建工程,使用文本编辑器输入本设计的所有模块的源程序,把miaobiao.vhd设为顶层文件。把本设计中的所有设计文件添加进工程后,先对每个模块进行编译纠错,然后把各个模块连接在一起保存然后在全程编译,通过之后就可以进行仿真。先进行软件仿真每一部分的仿真从略,下面只说明系统的整体仿真波形。系统工作时的仿真波形如图所示。确定准确无误后然后开始锁定管脚,执行菜单命令assignmentspins出现如下:锁定后的管脚结果如下:Node Name DirectionLocationa

12、13OutputPIN_2b12PIN_3c9Pin_4clk InputPIN_28clkdspInput PIN_6d10 PIN_7e11 PIN_8f8PIN_11gPIN_12lamp2PIN_37lamp1PIN_39lamp0PIN_38resetPIN_16sel2PIN_19sel1PIN_18sel0PIN_17setmsec PIN_20setsec PIN_21管脚锁定好以后再重新编译一次编译通过后执行ToolsProgammer命令出现如下:单击start按钮,开始硬件仿真.如果不能实现还需要重新调试程序直到实现秒表功能为止.五、心得体会经过了一周的课程设计,现在终于

13、有了一点的心得,原本以为我对VHDL还是比较的了解的,所以一向以为VHDL的课程设计应该不会觉得很难,可是事实并不是我所想的那样,如果老师不给我们框架的话,我想就算给我两个月的时间我也不可能做的出来.通过这次课程设计,对VHDL的语言有了更深刻的认识,对课题设计的基本流程有了一定的认识,同时也是一次把原来所学的书本知识和实践相联系的过程。并通过实践,建立起了学习EDA的浓厚兴趣。在设计中也深刻体会到了团队精神的重要性!同时我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。某个人的离群都可能导致导致整项工作的失败。设计中只有一个人知道原理是远远不够的,必须

14、让每个人都知道,否则一个人的错误,就有可能导致整个工作失败。团结协作是我们实习成功的一项非常重要的保证。而这次实习也正好锻炼我们这一点,这也是非常宝贵的。对EDA的设计平台Quartus有了熟练的使用。掌握了本次设计所用的EDA试验箱用法,为以后更好的学习EDA打下了基础。通过这次课程设计,我意识到了我对这门课掌握还有一定的不足,还有许多的知识我不了解;有的是一知半解;有的即使原理懂了,但在应用方面却是丝毫不知。所以在今后的学习中,我会更加努力,不仅要学好理论知识,还要把它应用到实践中去,使两者很好的结合起来,互补互助。参考文献:1 松,黄继业EDA技术实用教程科学2006年9月第三版2马淑华,高原 电子设计自动化邮电大学20063 卢毅,赖杰 VHDL与数字电路设计2001

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1