ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:84.21KB ,
资源ID:18470538      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/18470538.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(八位二进制码转化为BCD码及余三码BCD码转化余三码Word下载.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

八位二进制码转化为BCD码及余三码BCD码转化余三码Word下载.docx

1、如果有进位,则结果加3;如果无进位,则结果减3。如, (526)10进制=(0101 0010 0110)8421BCD码=(1000 0101 1001)余3码EDA技术打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率与产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。VHDL主要用于描述数字系统的接口,结构和功能,它的语法简单易懂,移植性好。本设计采用VHDL,Altera公司的Quartus II软件仿真,来实现八位二进制到BCD和BCD到余三码的转换。由于八位二进制的最大范围是0255,而八位BCD码的范围是099,故在转换时输入信号只能取99以内的数。关键词

2、:八位二进制、BCD码、余三码、VHDL目 录第一章 绪论 11.1 课程设计题目 11.2 设计目的 21.3 课程设计要求 2第二章 EDA、VHDL简介 32.1 EDA简介 32.2 VHDL简介 3第三章 设计过程 53.1设计规划 53.2各个模块设计及原理图 53.2.1八位二进制码转化为八位BCD码 53.2.2八位BCD码转化为八位余三码 63.2.3八位二进制码转化为8位余三码 7第四章 系统仿真 94.1八位二进制码转化为八位BCD码仿真及分析 942八位BCD码转化为八位余三码仿真及分析 94.3八位二进制码转化为八位余三码仿真及分析 10第五章 总结 11参考文献 1

3、2第一章 绪论随着计算机科学与技术突飞猛进地发展,用数字电路进行信号处理的优势也更加突出,自20世纪70年代开始,这种用数字电路处理模拟信号的所谓“数字化”浪潮已经席卷了电子技术几乎所有的应用领域EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于

4、特定目标芯片的适配编译、逻辑映射和编程下载等工作。随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。EDA功能强大,一台计算机、一套EDA软件和一片或几片大规模可编程芯片(CPLD/FPGA),就能完成电子系统的设计。EDA技术涉及面广,内容丰富,但在教学和技术推广层面上,应用较为广泛的是基于可编程器件的EDA技术,它主要包括如下四大要素:1大规模可编程器件,它是利用EDA技术进行电子

5、系统设计的载体;2硬件描述语言,它是利用EDA技术进行电子系统设计的主要手段;3软件开发工具,它是利用EDA技术进行电子系统的智能化的自动化设计工具;4实验开发系统,它是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。1.1 课程设计题目学习基本触发器的设计,进一步了解VHDL语言。巩固和运用所学课程,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力,本次设计的目的就是学习基本代码转换器的设计,了解并掌握VHDL硬件描述语言的设计方法和思想,通过对基本代码转换器的设计,巩固和综合运用所学课程,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力。通过课程设计深入理解

6、VHDL语言的精髓,达到课程设计的目标。1.2 设计目的进一步巩固理论知识,培养所学理论知识的在实际中的应用能力;掌握EDA设计的一般方法;熟悉一种EDA软件,掌握一般EDA系统的调试方法;利用EDA软件设计一个电子技术综合问题,培养VHDL编程、书写技术报告的能力。为以后进行工程实际问题的研究打下设计基础。1.3 课程设计要求本设计主要是利用超高速硬件描述语言VHDL对八位二进制转换成BCD码、余三码的电路进行编程实现。用原理图输入法或VHDL文本输入法设计代码转换电路,通过电路仿真和硬件验证,进一步了解代码转换器的功能。设计一个代码转换器实现功能如下:一个能让八位二进制数转化为BCD码和余

7、三码的代码转换器。(1)二进制能够直接转化为BCD码(2)BCD码你能直接转化为余三码(3)BCD码作为中介实现二进制到余三码的转换第二章 EDA、VHDL简介2.1 EDA简介EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度2.2 VHDL简介VHDL(Very-High-Speed Integrated Circuit HardwareDescription Language),翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。诞生于1982年。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公

8、司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。1.用VHDL代码而不是用原理图进行

9、设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。2.VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。3.VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。4.可以进行从系统级到逻辑级的描述,即混合描述。5.VHDL区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建第三章 设计过程3.1设计规划本系统设计方案整体组装设计图如下图3-1所示,3-1系统组成框图3.2各个模块设计及原理图3.2.1八位二进制码转化为八位BCD码3-2 八位二进制码转化为八位BCD码原理框图八位二进制码转化为八位BCD码过程由VHDL程序实现,下面是VHDL语言代码:LIBRAR

10、Y IEEE;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_1164.ALL;ENTITY HEX2BCD ISPORT(BCDOUT: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); HEXIN:IN STD_LOGIC_VECTOR(7 DOWNTO 0);END HEX2BCD;ARCHITECTURE RTL OF HEX2BCD ISBEGINPROCESS(HEXIN) VARIABLE NUM :INTEGER RANGE 0 TO 99; VAR

11、IABLE HUNDRED,TEN,ONE :INTEGER RANGE 0 TO 9; VARIABLE T,O :STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN NUM:=CONV_INTEGER(HEXIN); TEN:=NUM MOD /10; ONE: T:=CONV_STD_LOGIC_VECTOR(TEN,4); O:=CONV_STD_LOGIC_VECTOR(ONE,4); BCDOUT=T&O; END PROCESS;END RTL;3.2.2八位BCD码转化为八位余三码3-3 八位BCD码转化为余三码原理框图八位BCD转换为八位余三码过程由VHD

12、L程序实现,下面是VHDL语言代码:ENTITY THREE ISPORT( B:IN STD_LOGIC_VECTOR(7 DOWNTO 0); W:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END;ARCHITECTURE XIA OF THREE ISPROCESS(B) IF (B10011010) then W=B+00110011; ELSE W=00000000 END IF;3.2.3八位二进制码转化为8位余三码八位二进制现转化为八位BCD再转化为八位余三码3-4 八位二进制现转化为八位BCD再转化为八位余三码原理框图八位二进制转换为八位余三码过程由VH

13、DL程序实现,下面是VHDL语言代码:ENTITY ZONG ISPORT(HEXIN:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END ZONG;ARCHITECTURE RTL OF ZONG IS SIGNAL BCDOUT: STD_LOGIC_VECTOR(7 DOWNTO 0);PROCESS(BCDOUT) IF (BCDOUT=BCDOUT+第四章 系统仿真4.1八位二进制码转化为八位BCD码仿真及分析八位二进制码转换为八位BCD码由VHDL程序实现4-1八位二进制码转化为八位BCD码仿真图由于八位BCD的范围是099故只能取一个99以内的二进制数,输入

14、一个二进制数00100101则输出的BCD码为00110111.42八位BCD码转化为八位余三码仿真及分析4-2八位BCD码转化为八位余三码仿真图输入一个BCD数10010101输出一个余三码110010004.3八位二进制码转化为八位余三码仿真及分析4-3八位BCD码转化为八位余三码仿真图输入一个八位二进制码01001011输出一个BCD码10101000第五章 总结在这两周的设计过程中,除找资料外,大多时间都是在电脑上进行的,通过反复的编译,仿真,不断试验来实现所得结果。在设计上,运用了EDA自上而下的设计思想,逐步完善所设计功能,在设计中也遇到许多困难,在自己及同学的共同努力下才完成此设

15、计。因此这次课设给我带来的收获主要有:进一步熟悉Quartus II软件的使用和操作方法,以及硬件实现时的下载方法与运行方法;对VHDL语言的自顶向下设计方法有了进一步的认识,对其中的许多语句也有了新了解、掌握。对自己独立思考和解决问题的能力也有了很大的锻炼,同时同学间的互帮互助精神也是在课程设计中很好体现的。不足之处也有以下几点:在设计中,发现错误,自己纠错能力还是很欠缺,未能很好的找出,还是在老师及同学帮助下才完成;另外,对于自己想改进的地方,都能很好的指出,当要真正的去把其他改进的功能加上去,自己还是有所欠缺,尝试的过程是艰难的,当我们把我们的困难解决了就是甜蜜的,有收获的。参考文献1 潘松.黄继业EDA技术实用教程.2005. 科学出版社2 徐志军.徐光辉CPLD/FPGA的开发与应用 .2001.电子工业出版社3 朱正伟 EDA技术及应用 清华大学出版社4苏长赞. 电梯设计与应用M. 北京:人民邮电出版社,2008,7691.5楼然苗. CPLD设计指导M. 北京航空航天大学出版社,2007,223225.6 彭为. 数字系统设计M. 电子工业出版社,2006,6171.

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1