ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:139.30KB ,
资源ID:18409547      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/18409547.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程设计Word文档格式.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程设计Word文档格式.docx

1、设计内容(或预期目标)备注第一天课题介绍,答疑,收集材料第二天设计方案论证第三天设计VHDL语言程序第四天在实验装置上进行硬件测试,并进行演示第五天编写设计说明书教研室意见年 月 日系(部)主管领导意见长沙学院课程设计鉴定表姓名学号专业班级设计题目指导教师指导教师意见:评定等级: 教师签名: 日期:答辩小组意见:答辩小组长签名:日期:教研室意见:教研室主任签名: 系(部)意见:系主任签名:日期:说明课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类;目录第1章 EDA简介 1第2章 彩灯控制器的设计原理 2第3章 程序设计和分析 3第4章 波形仿真分析 第5章 硬件测试 11第6章 心

2、得体会 12第1章 EDA简介 EDA技术(即Electronic Design Automation技术)就是依赖强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Ddscription Langurage)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。它在硬件实现方面融合了大规模集成电路制造技术、IC版图设计、ASIC测试和封装、FPGA(Gield Peogrammable Gate Array)/CPLD(Complex Programmable Logic Devi

3、ce)编程下载和自动测试等技术;在计算机辅助工程方面融合了计算机辅助设计(CAD),计算机辅助制造(CAM),计算机辅助测试(CAT),计算机辅助工程(CAE)技术以及多种计算机语言的设计概念;而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及长线技术理论等。 硬件描述语言HDL是EDA技术的重要组成部分,常见的HDL主要有VHDL、Verilog HDL、ABEL、AHDL、System Verilog和SystemC。其中VHDL、Verilog和现在的EDA设计中使用最多,并且我们学习的是VHDL的编程方法和实用技术。 VHDL的英文全名

4、是VHSIC(Very High Speed Integrated Circuit)Hardware DescriptionLanguage,由IEEE(The Institute of Electrical and Electronics Engineets)进一步发展,并在1987年作为“IEEE标准1076”公布。从此VHDL成为硬件描述语言的业界标准之一。VHDL技术与传统的数字电子系统或IC设计相比之下有很大的优势,主要表现在:第一, VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。第二, VHDL具

5、有也具体硬件电路武官和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化,结构化设计方面,表现了强大的生命力和应用潜力。 EDA书中所给出的所有的实力和实验都是基于Quartus 6.0的,其应用方法和设计流程对于其他流行EDA工具的使用具有一定的典型性和普遍性。它提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统(SOPC)开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成综合环境。 Quartus 6.0包括模块化的编译器。编译器包括的功能模块有分析/综合器(Analysis Synthesis)、适配

6、器(Fitter)、装配器(Assembler)、时序分析器(TimingAnalyzer)、设计辅助模块(Design Assistant)、EDA网表文件生成器(EDA Netlist Writer)、编辑数据接口(Compiler Database Interface)等。可以通过选择Start Compilation来运行所有的编译器模块,也可以通过选择Start单独运行各个模块。还可以通过选择Compiler Tool,在Compiler Tool窗口中运行该模块来启动编译器模块。第2章 彩灯控制器的设计原理 本次彩灯控制器的设计包含几个主要模块,一是彩灯显示和扬声器的时序控制部分,

7、二是发光二极管的动态显示和数码管的动态显示,本次设计中,二者的显示同步变化;三是扬声器的控制部分。流程图如下所示:图1 彩灯控制器的设计流程图 彩灯控制器的设计核心主要是分频器的使用,显示部分的设计较简易。分频的方法有很多种,本次设计之采用了其中较简易的一种,通过计数器的分频,将控制器外接的频率分为几个我们预先设定的值。当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。扬声器通过不同的频率控制发出不同的声音。同样发光二极管和数码管的显示速度也由其中分出来的一种频率控制(控制显示频率在14之间为宜)。通过使能端的控制可以控制不同的数码管显示预先设定的图案,数码管依次显示的图案为AA、BB

8、、CC,并随着发光二极管同步动态显示。AA为自左向右显示,BB为自右向左显示,CC从二边向中间再由中间向二边发散显示。与此同时,显示不同的花型时扬声器发出不同的声音,代表不同的花型。本次设计还带有复位功能,通过复位可以使彩灯控制器恢复到最初的状态。第3章 程序设计和分析library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; 建立设计库和标准程序包实体部分:entity pan isport(clk:in std_logic; clr: speak:out std_logic; led7s1:out st

9、d_logic_vector(6 downto 0); led7s2:out std_logic_vector(7 downto 0); led_selout:end entity; 实体名为pan,定义端口,输入端口为clk和clr ,其中clk接脉冲信号,clr接复位端;输出端口speak接扬声器,led7s1接数码管的七段显示部分,led7s接八个发光二极管,led_selout接八个数码管的使能端,控制数码管的循环显示。结构体部分:architecture one of pan is signal s: std_logic_vector(4 downto 0); signal clk1

10、:std_logic; signal clk2: signal clk3: 定义4个信号,cq,cllk1,clk2,clk3.process(clk) variable a:std_logic_vector(5 downto 0); beginif clr=1 then clk1=0; - 复位信号控制部分 else if clkevent and clk=then if a=110010 a:=000000=a+1; end if; end process; 以上程序为第一次分频,为50分频,当clk发生变化,使a从000000变化到110010时产生一个上升沿clk1。如果a没有变化到1

11、10010则a继续自加,直到110010为止产生下一个上升沿。 variable b:std_logic_vector(4 downto 0); clk2 -复位信号elseif clk if b=11001 b:00000=b+1;end if;end process; 以上程序为对clk进行25分频,原理同上,产生信号clk2。 variable c:std_logic_vector(2 downto 0); clk3 -复位信号 if c=111 c:000=c+1;以上程序为对clk进行8分频,产生一个新的时钟脉冲信号clk3。数码管及二极管循环显示时序控制程序:process(clk

12、2)variable z:integer range 0 to 20;begin s -复位信号 z:=z+1; if z=20then s=s+1;s:=0; if s=10111 then selse sled7s10001000led7s201111111speak=clk1;led_seloutnull; end case; end; 此段程序就是当敏感信号s发生变化至10000时,对数码管进行置位和置型,1000110也就是对相应的数码管使之显示花型为C,通过对数码管使能端的置位,控制显示的数码管显示为CC ,并且发光二极管最左边第一个和最右边第一个显示为亮。当S每变化一次,数码管向

13、中间移动一格,发光二极管也同步中间移动,可以看到由二边向中间移动再发散到二边的变化过程。当 s变化到10111之后执行再循环显示A花型,同时扬声器发出声音。第4章 波形仿真分析 程序经过编译之后即可进行波形的仿真,为了方便观察显示结果,这里调节仿真时间为3us ,脉冲频率clk的周期为5ns,复位信号暂且不进行仿真.。执行Quartus软件中的processing- start simulation选项,即可观察到波形仿真图像。如下图所示为显示花型AA的仿真结果。 图2 花型A波形仿真图 由图2可知,当clk发生变化,来上升沿时,led7s1为0001000即数码管首先显示为花型A 二极管按规

14、定的显示,首先led7s2位01111111即最右边的二极管亮灯,led_selout为11000000即最右边的2个数码管显示2个A的花型。当clk变化到规定次数时二极管的显示开始按左移动,数码显示管也向左移动。Led7s2依次变化0111111111111110,实现二极管的向左移动;led_selout也依次变化1100000000000011实现数码显示管的向左移动。同时当clk信号变化50次时产生一个上升沿clk1,控制扬声器发出声音。 图3 花型BB的波形仿真图 由图3可知,当clk发生变化,来上升沿时,led7s1为0000011即数码管首先显示为花型B二极管按规定的显示,首先l

15、ed7s2位11111110即最右边的二极管亮灯,led_selout为00000011即最右边的2个数码管显示2个B的花型。当clk变化到规定次数时二极管的显示开始向右移动,数码显示管也向右移动。Led7s2依次变化1111111001111111,实现二极管的向右移动;led_selout也依次变化0000001111000000实现数码显示管的向右移动。同时当clk信号变化25次时产生一个上升沿clk2,控制扬声器发出声音。 图4 花型C的波形仿真图 由图4可知 当clk发生变化,来上升沿时,led7s1为1000110即数码管首先显示为花型C二极管按规定的显示,首先led7s2位011

16、11110即最右边和最右边的二极管亮灯,led_selout为10000001即最右边和最左边的2个数码管显示2个C的花型。当clk变化到规定次数时二极管的显示开始向中间移动,数码显示管中的花型也向中间移动,然后再由中间向俩边移动。Led7s2依次变化011111101110011101111110,实现二极管的向中间移动再向俩边移动;led_selout也依次变化100000010001100010000001实现数码显示管的向中间再向俩边移动。同时当clk信号变化8次时产生一个上升沿clk3,控制扬声器发出声音。第5章 硬件测试 波形仿真完成之后即可进行引脚的分配,并进行编程下载至硬件进行

17、测试。在Quartus 中选择Assignments-Assignments Editor,在Assignments Editor窗口中选择pin标签页,即可分配引脚,引脚的分配情况如图5所示。 图5 引脚分配图 如上图所示,在实验过程中clk的引脚选择J16即可外接频率源,实验过程中选择的频率为1KHZ。其余数码管和二极管以及8个数码管的使能端引脚分配见上图,复位键引脚T10对应的按键。选择process-start compilation,重新编译,完成后形成可配置到FPGA的pan.sof文件和配置到外部的pan.pof文件。 编程下载,在quartus软件中,选择tools/progr

18、ammer,在Mode中选择JTAG,点击”add file” 按钮添加需要配置的pan.sof文件,选中program /configure,点击start按钮对芯片进行配置,等待一段时间后即可观察到实验结果。 在实验箱上,我们观察到实验结果按我们所设计的那样显示,即可显示我们预先设定的三种花型AA、BB、CC并且能够按要求循环移动,发光二极管也可按设计要求循环闪烁,并与数码显示管的花型变化同步。同时当显示不同的花型时扬声器发出了不同的声音。当按下复位键时我们可以看到数码显示管重新开始再最右边显示花型,并且扬声器停止发声。这些实验结果验证所设计程序的正确性,实现了设计内容和要求,并且有了一定

19、的扩展功能。第6章 心得体会 本次设计的课题是彩灯控制器的设计,当拿到这个课题的时候经过分析就知道关键是计数器和分频器的使用,分频的方法有很多种,对于同一种功能的实现,用VHDL可以采用多种方式进行描述,每种方式之间各有优劣,本次设计只采用了其中较简单的一种,应尽量用最简洁的语言写出所需功能的程序。 通过这次课程设计对技术有了更进一步的熟悉,VHDL 语言和语言等其他语言还是有很大的区别。VHDL是EDA技术的重要组成部分,其具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力。其主要的也是最大的优点就在于设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1