ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:344.64KB ,
资源ID:18338358      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/18338358.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(北邮数电实验报告 上文档格式.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

北邮数电实验报告 上文档格式.docx

1、1 IF temp=9 THEN ELSE=temp+1; END IF; END PROCESS p1; p2:PROCESS(temp) IF temp9 THEN clk_out=; END PROCESS p2;END struc;2.VHDL语言实现模10计数器异步复位十进制计数器,计数状态为00001001,状态的改变通过q_temp实现,最后将其赋值给q,进行输出。ENTITY bcd24 IS PORT(clk,clr: q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END bcd24;ARCHITECTURE struc OF bcd24 IS SIG

2、NAL q_temp:STD_LOGIC_VECTOR(3 DOWNTO 0); PROCESS(clk) IF(clk)THEN IF clr=THEN q_temp=0000 ELSIF q_temp=1001=q_temp+1; END PROCESS; qb0000000 end case; end process; cat111110end;4. VHDL语言实现数码管09自动显示系统由三部分组成:分频系数为50M的分频器,模10计数器,数码管显示译码器。分频器将计算机频率降低作为输入,使数字变化周期为1秒。模10计数器用以控制输出10个数字。数码管显示译码器用来下载至实验板上观察结

3、果。ENTITY shiyan24 IS PORT( clk:in std_logic; clear:out std_logic_vector(5 downto 0);END shiyan24;ARCHITECTURE a OF shiyan24 ISsignal tmp:integer range 0 to 24999999;signal clktmp:std_logic;SIGNAL q_temp:p1:process(clear,clk) begin if clear= then tmp elsif clkevent and clk= then if tmp=24999999 then

4、tmpclktmp=not clktmp; else tmp=tmp+1; end if; end process p1;p2:PROCESS(clktmp) IF(clktmpEVENT AND clktmp=p3:process(q_temp) case q_temp isend a;5. VHDL语言实现数码管串行扫描电路用来在六个数码管上显示“111824”ENTITY chuanxing24 ISOUT STD_LOGIC_VECTOR(6 DOWNTO 0); countout:OUT STD_LOGIC_VECTOR(5 DOWNTO 0);END chuanxing24;ARC

5、HITECTURE a OF chuanxing24 IS signal tmp:integer range 0 to 49999; signal clktmp:STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL count:STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL cnt:INTEGER RANGE 0 TO 5;p0: if tmp=25999 then tmp end process p0; IF(cnt=5)THEN cnt cnt=cnt+1;PROCESS(cnt) IF(clear=)THEN countcount011111

6、q_temp101111 WHEN 2=110111 WHEN 3=111011 WHEN 4=111101 WHEN 5= END CASE; countout=count;END a;6.VHDL语言实现数码管滚动显示电路实现数字串“111824”的循环左滚动,始终点亮6个数码管,左出右进。 library ieee;use ieee.std_logic_unsigned.all;entity gundong24 is clk,clear:out std_logic_vector(5 downto 0) );end gundong24;architecture behave of gund

7、ong24 issignal temp_1:integer range 0 to 24999;signal temp_2:integer range 0 to 499;signal temp_clk_1:signal temp_clk_2:signal m:std_logic_vector(3 downto 0);signal n:signal t: integer range 0 to 5;process(clk,clear) then temp_1 if temp_1=24999 thentemp_clk_1= not temp_clk_1; else=temp_1+1;end proce

8、ss p1;process(temp_clk_1,clear)then temp_2 elsif temp_clk_1event and temp_clk_1= if temp_2=499 then temp_clk_2= not temp_clk_2;=temp_2+1; end process p2;process(clear,temp_clk_2,temp_clk_1) if clearthen m if m=m+1; end process p3;p4: process(m) case m is when q end process p4; p5: process(clear,temp_clk_2,t,m) t elsif temp_clk_2event and temp_clk_2= if t=5 then=t+1; end process p5; p6: process(n,m,t) n=m+t; case n iscat end process p6; end behave;四仿真波形图及波形分析1.分频器仿真波形图(十分频,占空比为10%)2. 分频器仿真波形图(十分频,占空比为50%)3.模10计数器仿真波形图4. 数码显示译码器

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1