ImageVerifierCode 换一换
格式:DOCX , 页数:33 ,大小:208.66KB ,
资源ID:18163709      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/18163709.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(teach09第9章 EDA技术综合应用设计实例文档格式.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

teach09第9章 EDA技术综合应用设计实例文档格式.docx

1、(5)扬声器,在当前时钟时间与闹钟时间相同时,发出蜂鸣声。该数字闹钟的具体功能要求如下:(1)计时功能:这是本数字闹钟设计的基本功能,每隔1秒钟计时一次,并在显示屏上显示当前时间;(2)闹钟功能::如果当前时间与设置的闹钟时间相同,则扬声器发出蜂鸣声;(3)设置新的计时器时间:系统内部产生的周期性循环变化的待选预置数字,当用户按”YES”键后则该数字将作为预置数字输入;在输入过程中,输入数字在显示屏上从右到左依次显示,例如,用户要设置新的时间12:48:56,则按顺序先后输入”1”,”2”,”4”,”8”,”5”,”6”,与之对应,显示屏依次显示的信息为:”1”,”12”,”124”,”124

2、8”,”12485”,”124856”。如果用户在输入任意几个数字后较长时间内,例如5秒,没有按任何键,则计时器恢复到正常的计时显示状态。(4)设置新的闹钟时间:用户用YES键输入新的时间,然后按”ALARM”键确认,过程与3类似。(5)显示所设置闹钟时间:在正常计时显示状态下,用户直接按下“ALARM”键则已设置的闹钟时间显示在显示屏上。根据该系统的设计功能要求,整个系统大致包括如下几个组成部分:用于预置数字输入的预置数字缓冲器;用于数字闹钟计时的计数器;用于保存闹钟时间的寄存器;用于显示的七段数码显示电路以及控制以上各个部分协同工作的控制器。图9.1 数字闹钟面板图图9.2 计时器的外部端

3、口根据该数字闹钟的设计要求,我们可得到其外部端口如图9.2所示。各个输入/输出端口的作用如下:(1) CLK为外部时钟信号,RESET为复位信号。(2) 当YES为高电平时(YES= 1),表示用户选择了某个预置数字。(3) 当ALARM_BUTTON为高电平时,表示用户按下ALARM键。(4) 当TIME_BUTTON为高电平时,表示用户按下TIME键。(5) SEG7是数据动态扫描显示的公共八段数码显示管驱动端,而LEDW则是数码管的位选择端,它经过外接的38译码器译码后接数码管的公共端COM。(6) SOUND_ALARM用于控制扬声器发声,当SOUND_ALARM = 1时,扬声器发出

4、蜂鸣,表示到了设定的闹钟时间。根据系统的设计要求,整个系统可分为闹钟控制器、预置寄存器、分频电路、时间计数器、闹钟寄存器、显示驱动控制器等6个模块,其总体设计原理图如图9. 3所示。各图9. 3 系统总体设计原理图个模块的作用介绍如下:(1) 闹钟控制器(CONTROL):它是整个系统正常有序工作的核心,按设计要求产生相应的控制逻辑,以控制其他各部分的协调工作。 (2) 预置寄存器(KEYBUFFER):这时一个预置数字产生器和移位寄存器的结合体。通过对YES进行操作,选择输入欲输入的数字,暂存用户输入的数字,并且用户每输入一个数字,暂存数字移位一次,实现用户输入数字在显示器上从右到左的依次显

5、示。(3) 分频电路(DIVIDER):将较高速的外部时钟频率分频成每秒钟一次的时钟频率,以便进行时钟计数。(4) 时间计数器(COUNTER):实际上是一个异步复位、异步置数的累加器,通常情况下进行时钟累加计数,必要时可置入新的时钟值,然后从该值开始新的计数。(5) 闹钟寄存器(REG):用于保存用户设置的闹钟时间,是一个异步复位寄存器。(6) 显示驱动器(DRIVER):根据需要显示当前时间、用户设置的闹钟时间或用户输入的预置时间,同时判断当前时间是否已到了闹钟时间,实际上是一个多路选择器加比较器。对具体数据的显示,采用的是动态扫描显示方式。图9.4 闹钟控制器的外部端口 闹钟控制器的外部

6、端口如图9.4所示,各端口的作用如下:(2) 当KEY为高电平(KEY= 1)时,表示用户按下数字键(“0”“9”)。(3) 当ALARM_BUTTON为高电平时,表示用户按下“ALARM”键。(4) 当TIME_BUTTON为高电平时,表示用户按下“TIME”键。(5) 当LOAD_NEW_A为高电平时,控制(闹钟时间寄存器)加载新的闹钟时间值。(6) 当LOAD_NEW_C为高电平时,控制(时钟计数器)设置新的时间值。(7) 当SHOW_NEW_TIME为高电平时,控制(七段数码显示电路)显示新的时间值,即用户输入的预置时间;否则,当SHOW_NEW_TIME为低电平时,根据SHOW_A信

7、号的值控制显示当前时间或闹钟时间。此时,当SHOW_A为高电平时,控制显示闹钟时间,否则,显示当前时间。控制器的功能可以通过有限状态自动机(FSM)的方式来实现。根据设计要求及端口设置,需要五个状态来实现:S0:表示电路初态即正常时钟计数状态,完成计时功能。S1:接收预置数字输入状态。在状态S0时用户按下“YES”键后进入此状态。在此状态下,显示屏上显示的是用户预置的数字。S2:设置新的闹钟时间。在状态S1时用户按下ALARM键后进入此状态。S3:设置新的计时器时间。在状态S1时用户按下TIME键后进入此状态。S4:显示闹钟时间。在状态S0时用户直接按下ALARM键后进入此状态。在此状态下,显

8、示屏上显示的是所设置的闹钟时间。注意:在此状态下,用户按下ALARM键后,显示屏上保持显示闹钟时间,经过一段时间以后,再返回状态S0显示计时器时间。相应的状态转换及控制如表9.1所示。表9.1 控制器状态转换及控制输出表当前状态控制输入(条件)下一状态控制输出(动作)S0YES = 1S1SHOW_NEW_TIME = 1ALARM_BUTTON = 1S4SHOW_A 否则-S2LOAD_NEW_A TIME_BUTTON = 1S3LOAD_NEW_C 否则(超时)否= 1, “超时”判断处理是表9.1中没有显式说明的控制信号赋值,表示信号的值为零。例如在状态S0,当信号YES =1时,S

9、HOW_NEW_TIME信号的赋值为1,而其他信号LOAD_NEW_A、LOAD_NEW_C和SHOW_A的值此时都赋为0。另外,表中关于“超时”判断处理的处理细节见VHDL源程序中的有关部分。由于在整个系统中有多个模块需要用到自行设计的数据类型,并且这些数据类型大部分相同,因此我们为了使用上的方便,可设计一个程序包P_ALARM,该程序既可加在调用该程序包的程序前面,也可加在整个系统的顶层设计程序的前面。但是对于一个比较复杂系统的设计,一般是分模块进行设计和调试,所以加在各个调用该程序包的程序前面会比较方便写。程序包P_ALARM的具体设计如下:LIBRARY IEEE;USE IEEE.S

10、TD_LOGIC_1164.ALL;PACKAGE P_ALARM ISSUBTYPE T_DIGITAL IS INTEGER RANGE 0 TO 9;SUBTYPE T_SHORT IS INTEGER RANGE 0 TO 65535;TYPE T_CLOCK_TIME IS ARRAY (5 DOWNTO 0) OF T_DIGITAL;TYPE T_DISPLAY IS ARRAY (5 DOWNTO 0) OF T_DIGITAL;END PACKAGE P_ALARM;根据上面的设计分析,我们可将闹钟控制器的VHDL源程序设计如下:-控制器源程序CONTROL.VHDUSE W

11、ORK.P_ALARM.ALL;ENTITY CONTROL IS PORT(KEY:IN STD_LOGIC; ALARM_BUTTON: TIME_BUTTON: CLK: RESET: LOAD_NEW_A:OUT STD_LOGIC; LOAD_NEW_C: SHOW_NEW_TIME: SHOW_A:OUT STD_LOGIC);END ENTITY CONTROL;ARCHITECTURE ART OF CONTROL IS TYPE T_STATE IS(S0,S1,S2,S3,S4); CONSTANT KEY_TIMEOUT:T_SHORT:=500; CONSTANT SH

12、OW_ALARM_TIMEOUT: SIGNAL CURR_STATE:T_STATE; SIGNAL NEXT_STATE: SIGNAL COUNTER_K:T_SHORT; SIGNAL ENABLE_COUNT_K:STD_LOGIC; SIGNAL COUNT_K_END: SIGNAL COUNTER_A: SIGNAL ENABLE_COUNT_A: SIGNAL COUNT_A_END: BEGIN PROCESS(CLK,RESET) IS IF RESET=1 THEN CURR_STATE=S0; ELSIF RISING_EDGE(CLK)THEN=NEXT_STATE

13、; END IF; END PROCESS; PROCESS(KEY,ALARM_BUTTON,TIME_BUTTON,CURR_STATE,COUNT_A_END,COUNT_K_END) NEXT_STATE=CURR_STATE; LOAD_NEW_A=0; LOAD_NEW_C SHOW_A SHOW_NEW_TIME ENABLE_COUNT_K ENABLE_COUNT_AIF (KEY=) THEN=S1; ELSIF (ALARM_BUTTON=S4; ELSE WHEN S1=) THEN =S2; ELSIF (TIME_BUTTON=S3; ELSE IF (COUNT_

14、K_END= WHEN S2=IF (ALARM_BUTTON= WHEN S3=IF (TIME_BUTTON= WHEN S4= IF (COUNT_A_END= WHEN OTHERS=NULL; END CASE; COUNT_KEY:PROCESS(ENABLE_COUNT_K,CLK) IS IF (ENABLE_COUNT_K= COUNTER_K=0; COUNT_K_END=KEY_TIMEOUT) THEN=COUNTER_K+1; COUNT_ALARM:PROCESS(ENABLE_COUNT_A,CLK) IS IF(ENABLE_COUNT_A= COUNTER_A

15、 COUNT_A_END=SHOW_ALARM_TIMEOUT) THEN=COUNTER_A+1;END ARCHITECTURE ART;图9.5为预置寄存器示意图。图9.5 预置寄存器示意图-预置寄存器的VHDL源程序KEYBUFFER.VHDUSE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY KEYBUFFER IS KEYNUM:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); NEW_TIME:OUT T_CLOCK_TIME);END ENTITY KEYBUFFER;ARCHITECTURE ART OF KEYBUFFER IS S

16、IGNAL N_T:T_CLOCK_TIME; SIGNAL CNT:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL TEMP:T_DIGITAL; PROCESS(CLK) IS IF (CLKEVENT AND CLK= IF CNT=9 THEN CNT=0000=CNT+ TEMP=CONV_INTEGER(CNT); KEYNUM=CNT; SHIFT:PROCESS(RESET,KEY) IS IF (RESET= N_T(5) N_T(4) N_T(3) N_T(2) N_T(1) N_T(0) ELSIF (KEYEVENT AND KEY= FOR

17、I IN 5 DOWNTO 1 LOOP N_T(I)=N_T(I-1); END LOOP;=TEMP; NEW_TIME=N_T;9.1.5 闹钟寄存器的设计 闹钟寄存器模块的功能是在时钟上升沿同步下,根据LOAD_NEW_A端口的输入信号控制ALARM_TIME端口的输出;当控制信号有效(高电平)时,把NEW_ALARM_TIME端口的输入信号值输出;RESET端口输入信号对ALARM_TIME端口的输出进行异步的清零复位。图9.18是闹钟寄存器的示意图。图9.18 闹钟寄存器示意图-闹钟寄存器的源程序REG.VHDENTITY REG IS PORT(NEW_ALARM_TIME:IN

18、 T_CLOCK_TIME; ALARM_TIME:END ENTITY REG;ARCHITECTURE ART OF REG IS ALARM_TIME(0) ALARM_TIME(1) ALARM_TIME(2) ALARM_TIME(3) ALARM_TIME(4) ALARM_TIME(5) IF RISING_EDGE(CLK) THEN IF LOAD_NEW_A= ALARM_TIME=NEW_ALARM_TIME;图9.6 分频器示意图本模块的功能是将CLK_IN端口输入的时钟信号分频后送给CLK_OUT端口;当RESET端口输入信号有效(高电平)时,CLK_OUT端口输出信

19、号清零。图9.6为分频电路示意图。-分频电路的VHDL程序DIVIDER.VHDENTITY DIVIDER IS PORT(CLK_IN: CLK_OUT:END ENTITY DIVIDER;ARCHITECTURE ART OF DIVIDER IS CONSTANT DIVIDE_PERIOD:=6000; PROCESS(CLK_IN,RESET) IS VARIABLE CNT: CNT: CLK_OUT ELSIF RISING_EDGE(CLK_IN) THEN IF (CNT=(DIVIDE_PERIOD/2) THEN=CNT+1; ELSIF (CNT(DIVIDE_PERIOD-1) THEN时间计数器模块的功能是当RESET端口输入信号为高电平时,对CURRENT_TIME端口输出信号清零复位;当LOAD_NEW_C端口输入信号为高电平时,将NEW_CURRENT_TIME端口的输入信号输出给CURRENT_TIME端口。RESET端口的控制优先于LOAD_NEW_C端口。当这两个控制信号都无效时,在时钟上升沿同步下,对CURRENT_TIME端口输出信号累加1,并根据小时、分钟、秒的规律处理进位。图9.7是时间计数器模块的示意图。图9.7

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1