ImageVerifierCode 换一换
格式:DOCX , 页数:35 ,大小:54.01KB ,
资源ID:1814867      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/1814867.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA程序设计试题及答案.docx)为本站会员(b****2)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA程序设计试题及答案.docx

1、EDA程序设计试题及答案1 请画出下段程序的真值表,并说明该电路的功能。LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY aaa IS PORT( oe,dir :IN STD_LOGIC ; a,b : INOUT STD_LOGIC_VECTOR(7 DOWNTO 0 ) ; END aaa ;ARCHITECTURE ar OF aaa ISBEGIN PROCESS(oe , dir ) 输入 输出 BEGIN a1 a0 x3 x2 x1 x0 IF oe=0 THEN a=”zzzzzzzz”; b=”zzzzzzzz”; 0 0 0

2、0 0 1 ELSIF oe=1 THEN 0 1 0 0 1 0 IF dir=0 THEN b=a; 1 0 0 1 0 0 ELSIF dir=1 THEN a=b; 1 1 1 0 0 0 ENDIF; END IF ; END PROCESS ;END ar ;功能为:24译码器.4分2 请说明下段程序的功能,写出真值表,并画出输入输出波形。LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;ENTITY aaa IS PORT( r

3、eset,clk: IN STD_LOGIC; q: BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0);END aaa;ARCHITECTURE bd OF aaa IS BEGIN PROCESS(clk,reset) BEGIN IF (reset=0) THEN q=000; ELSIF (clkevent AND clk=1) THEN IF (q=5) THEN q=000; ELSE q=q+1; END IF; END IF; END PROCESS;END bd;功能为:带进位借位的4位加/减法器。.3分输入输出波形图如下:7分ma3.0b3.0c3.0d

4、1. 试用VHDL语言编程实现74LS273芯片的功能。LIBRARY ieee; USE ieee.std_logic_1164.ALL; 2 ENTITY ls273 IS 1 PORT( clr, clk : IN std_logic; d : IN std_logic_vector(7 DOWNTO 0 ); q : OUT std_logic_vector(7 DOWNTO 0 ); 4 ); END ls273; ARCHITECTURE lock8 OF ls273 IS 1 BEGIN PROCESS ( clk ) 1 BEGIN IF (CLR=0) THEN q=”000

5、00000” ; 2 ELSEIF (clkevent AND clk=1) THEN q=d; 3 ELSEIF ( clk=0 ) THEN q=q; 1 END IF; END PROCESS; END lock8;3. 请用VHDL语言编程实现一个状态向量发生器。LIBRARY ieee; USE ieee.std_logic_1164.ALL; 2 ENTITY stas IS 1 PORT( cp, rst : IN std_logic; p : BUFFER std_logic_vector(7 DOWNTO 0 ); 2 ); END stas; ARCHITECTURE ar

6、stas OF stas IS 1 BEGIN PROCESS (cp ) 1 BEGIN IF(rst=”0”) THEN p=”00000000”; 1 ELSEIF (cpevent AND cp=1 ) 1WITH p SELECT pb THEN ahb=1; alb=0; aeb=0; ELSIF ab THEN ahb=0; alb=1; aeb=0; ELSE ahb=0; alb=0; aebb100ab010a=b001 (2)该电路是一个8位两输入比较器,(2)a、b是两个8位输入端;(1)ahb、alb和aeb为比较结果输出端,某种比较结果为真时,相应的输出端为“1”,

7、其余端输出为“0”。(2)1. 试用VHDL语言编程实现一个2-4译码器,其真表如下:输入端输出端enselecty0XX“1111”100“1110”101“1101”110“1011”111“0111” 2-4译码器码参考程序如下:(答案不唯一,用case语句、withselect语句都可以。)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; (1)ENTITY ym24 IS PORT( en : IN STD_LOGIC; select : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); y : OUT STD_LOGIC_VECT

8、OR(3 DOWNTO 0) (3);END ym24;ARCHITECTURE bd OF ym24 IS BEGIN PROCESS(en) (1) IF (en=1) THEN y= ”1110” WHEN select=”00” ELSE ”1101” WHEN select =”01” ELSE ”1011” WHEN select =”10” ELSE ”0111” WHEN select =”11” ELSE (4) ”1111”; ELSE yQQQQQQQ=00000000; (4) END CASE; END PROCESS;END bd; 2、已知三选一电路如图,判断下列

9、程序是否有错误,如有则指出错误所在,并给出完整程序。(10分)library ieee;use ieee.std_logic_1164.all;ENTITY MAX isport(a1,a2,a3,s0,s1:in bit; outy:out bit);end max; (2)architecture one of max iscomponent mux21a port(a,b,s:in std_logic; y:out std_logic);end component; (2)signal temp std_logic; (2)begin u1:mux21a port map(a2,a3,s0,temp); (2) u2:mux21a port map(a1,temp,s1,outy); (2) end one;1. 已知电路原理图如下,请用VHDL语言编写其程序答:library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1