ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:232.08KB ,
资源ID:1789650      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/1789650.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(IMPACT软件使用解读.docx)为本站会员(b****1)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

IMPACT软件使用解读.docx

1、IMPACT软件使用解读Xilinx IMPACT工具使用 IMPACT 5.4.1 iMPACT综述与基本操作 1. iMPACT简介 iMPACT支持4种下载模式:边界扫描,从串模式、SelectMap模式以及Desktop配置模式。从串模式是一种常用配置电路,可用USB口或并口完成配置。SelectMap模式是一种并行配置模式,速度快,但需要使用多个信号管脚。Desktop模式是一种高速配置模式,可配置FPGA、PROM以及SystemACE,但需要专用的硬件设备。在实际中,由于边界扫描模式标准统一、设备简单,且可通过JTAG链路配置FPGA、CPLD以及PROM,使用最为广泛。因此本节

2、主要基于边界扫描模式来介绍IMPACT的使用方法。 当设计完成后,ISE调用BitGEN程序把布局布线后的.ncd文件转化成.bit文件,包括了配置数据和配置指令。如果使用JTAG模式,可直接将.bit文件通过iMPACT文件配置到FPGA芯片中。如果要用其它模式配置FPGA,则需要通过iMPACT进行格式转化,生成.mcs、.exo以及.hex等文件格式,表M对常用的配置文件进行比较和说明。对于FPGA器件,iMPACT能够直接将.bit位流文件下载到芯片中,或者将其转换为PROM器件的EXO/MCS文件格式,并下载到PROM芯片中。 2. iMPACT用户界面 有两种方法可以启动iMPAC

3、T软件,一种是在ISE过程管理区中,点击Generate Programming File前面的“+”号,再双击“Configure Device”,在ISE环境下运行;另一种是通过点击“开始 程序 ISE9.1 Accessories iMPACT”,在Windows环境下单独运行。 iMPACT的用户界面主要由File、Edit、View、Operations、Options、Output、Debug、Window、Help菜单栏和常用工具栏组成。下面对常用的菜单栏操作进行简要介绍。 File菜单 File菜单包含了常见的文件操作,其中的“Initialize Chain”用于自动完成边界

4、扫描JTAG链上的器件类型和数目;“Export Project to CDF”用于把向前项目信息保存到CDF(Chain Description File)文件中。 Edit菜单 Edit菜单包含了常用的配置操作,其中的“Add Device”用于手动创建JTAG扫描链时添加PROM或FPGA芯片;“Assign Configuration File”用于指定配置文件;“EDIT ROM”用于修改和删除PROM芯片;“Preference”用于设定iMPACT的通用选项。 View菜单 View菜单包含了各个窗口显示/关闭的操作。 Operations菜单 Operations菜单包含了配置

5、、验证、擦除以及各类验证操作。其中“Program”用于对器件编程,下载相应的配置文件;“Verify”用于验证下载是否正确;“Erase”用于擦除FPGA或PROM芯片内的内容。 Options菜单 Options菜单包含编程、擦除以及回读等选项。 Output菜单 Output菜单包含了常用的电缆操作。其中“Cable Auto Connect”用于电缆自动连接;“Disconnect All Cables”用于断开所有电缆 Debug菜单 Debug菜单包含了JTAG扫描连所有的调试操作。其中“Start/Stop Debug Chain”用于启动或停止调试;“Chain Integri

6、ty Test”用于扫描立案完整性测试;“IDCODE Test”用于IDCODE测试。 Window菜单 Window菜单包含了窗口管理操作,如关闭窗口、上一下/下一个窗口等。 Help菜单 Help菜单包含了iMPACT的在线帮助和版本信息。5.4.2 使用IMPACT创建配置文件 一个设计经过综合、实现之后,需要为器件生成相应的编程文件。ISE中内嵌了比特流生成器,可生成FPGA以及PROM格式文件,从而实现动态配置,并验证数据是否正确。由于Xilinx FPGA支持多种配置模式,因此在完成数据配置之前,需要选择一个合适的模式,以避免出现编程错误 1. 配置参数设置窗口 在过程窗口中,选

7、中Generate Programming File并单击右键打开Process Properties窗口,在其中可完成对各类编程参数的选择和配置。 (1)通用参数设置窗口 通用参数设置窗口如图5-36所示,主要选择配置文件的格式以及各种校验规则。图5-36 通用参数(General Options)设置窗口其中相应的选项说明如下: Run Design Rules Checker(DRC):运行设计规则校验。建议使用该功能,在位流文件生成中进行规则校验,这样可对NCD文件进行评估。其默认值为选中。 Creat Bit File:创建位流文件。用于设计在实现后生成可配置的比特文件。其默认值为选

8、中。 Creat Binary Configuration File:创建二进制配置文件。其默认值为不选中。 Creat ASCII Configuration File:创建ASCII配置文件。其默认值为不选中。 Creat IEEE 1532 Configuration File:创建符合IEEE 1532标准的配置文件,仅对Virtex系列芯片有关。其默认值为不选中。 Creat BitStream Compression:使能比特文件压缩功能,可节约PROM的存储空间。其默认值为不选中。 Enable Debugging of Serial Mode BitStream:使能比特文件的

9、调试功能。其默认值为不选中。 Enable Cyclic Redundancy Checking(CRC):使能循环冗余校验,在配置数据中添加4位校验码。其默认值为不选中。 (2)配置参数设置窗口 配置参数设置窗口如图5-37所示,主要完成配置电路所用管脚内部电阻的选择。 图5-37 配置参数(Configuration Options)设置窗口其相应的选项说明如下: Configuration Rate:配置数据速率。其默认值为4Mbps。 Configuration Clk(Configuration Pins):用于选择配置时钟管脚CCLK内部是否使用上拉电阻,有“Pull up”和“F

10、loat”两种选择。选择上拉可以减小时钟信号线上的干扰信号,默认值为选择内部上拉。 Configuration Pin M0:用于选择模式控制管脚M0的内部电阻阻值,有“Pull Up”、“Float”和“Pull Down”3种选择,分别对应着上拉、悬空和下拉,其电阻值的范围为50 100 ,上拉和下拉能在一定程度上减小干扰。默认值为选择内部上拉。 Configuration Pin M1:用于选择模式控制管脚M1的内部电阻阻值。同M0的说明。 Configuration Pin M2:用于选择模式控制管脚M2的内部电阻阻值。同M0的说明 Configuration Pin Program:

11、用于选择编程控制管脚PROG的内部电阻阻值,有“Pull Up”、“Float”和“Pull Down”3种选择,分别对应着上拉、悬空和下拉,上拉和下拉能在一定程度上减小干扰,避免非法操作。默认值为选择内部上拉。 Configuration Pin Done:用于选择DONE管脚的内部电阻阻值,有“Pull Up”、“Float”和“Pull Down”3种选择,分别对应着上拉、悬空和下拉,其电阻值的范围为2 18 。由于DONE信号为集电极开路输出,必须有终端电阻才能正常工作,如果外部电路中没有上拉电阻,则必须选择“Pull Up”;同样,在选择“Float”时,要保证外部电路中已有上拉电阻

12、。 Configuration Pin Init Configuration Pin CS Configuration Pin DIn Configuration Pin Busy Configuration Pin RdWr JTAG Pin TCK:用于选择JTAG时钟管脚TCK的内部电阻阻值,有“Pull Up”、“Float”和“Pull Down”3种选择,分别对应着上拉、悬空和下拉,建议选择内部上拉。默认值为选择内部上拉。 JTAG Pin TDI:用于选择JTAG输入数据管脚TDI的内部电阻阻值,同TCK的说明。 JTAG Pin TDO:用于选择JTAG输出数据管脚TDO的内部

13、电阻阻值,同TCK的说明。 JTAG Pin TMS:用于选择JTAG测试模式选择管脚TMS的内部电阻阻值,同TCK的说明。 Unused IO Pins:用于选择未用管脚的内部电阻选择,同TCK的说明。默认值为FFFFFFFF。 User ID Code(8 Digital Hexadecimal):用户码身份输入,其格式为8个16进制数。 DCI Update Mode:用于选择设计DCI进行阻抗调整的模式,有“As Required”、“Continuous”和“Quiet(Off)”3种选择,分别对应着仅在需要时调整阻抗、连续调整阻抗以及达到初始后便不再调整阻抗的3种模式。默认值为“A

14、s Required”。 (3)配置启动参数设置窗口 配置启动参数设置窗口如图5-38所示,主要完成配置电路时钟信号以及时钟驱动方案的选择。图5-38配置启动参数(Startup Options)设置窗口注意:图M的配置窗口对于不同系列的FPGA芯片是略存区别的。对于早期的Virtex和Spartan-2系列,还会有“Release Set/Reset(Output Events)”等选项,用于设置多少个时钟周期后,复位/置位内部锁存器、触发器。 其相应的选项说明如下: FPGA Start-Up Clock:用于选择FPGA芯片的配置时钟,有“CCLK”、“User Clock”和“JTAG

15、 Clock”3个可选项。当配置模式为主模式时,则配置时钟由FPGA芯片生成;当配置模式为从模式时,则配置时钟由外部提供。当配置PROM器件时,必须选择CCLK时钟;当选择JTAG模式的配置时钟,该时钟由JTAG接口TCK信号提供。用户自定义的配置时钟User Clock目前很少使用。默认值为CCLK。 Enable Internal Done Pipe:用于选择是否等待插入的延迟信号CFG_DONE后,DONE管脚有效,对于高速配置方案非常有效。默认值为不选择。 Done(Output Events):用于设置多少个CFG_DONE周期后,使DONE信号有效。默认值为4。 Enable Outputs(Output Events):用于设置多少个时钟周期后,将输入、输出管脚从三态条件释放到实际的输入、输出结构。默认值为5。 Release Write Enable(Output Events):用于设置多少个时钟周期后

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1