ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:28.41KB ,
资源ID:17791532      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/17791532.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(UART串口通信实验报告材料Word文档下载推荐.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

UART串口通信实验报告材料Word文档下载推荐.docx

1、空闲位:处于逻辑“1”状态,表示当前线路上没有资料传送。波特率:是衡量资料传送速率的指标。表示每秒钟传送的符号数(symbol)。一个符号代表的信息量(比特数)与符号的阶数有关。例如资料传送速率为120字符/秒,传输使用256阶符号,每个符号代表8bit,则波特率就是120baud,比特率是120*8=960bit/s。这两者的概念很容易搞错。三、 实现程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity uart is por

2、t(clk : in std_logic; -系统时钟 rst_n: -复位信号 rs232_rx: -RS232接收数据信号; rs232_tx: out std_logic -RS232发送数据信号;);end uart;architecture behav of uart iscomponent uart_rx port(clk : rst_n: rs232_rx: -RS232接收数据信号 clk_bps: -此时clk_bps的高电平为接收数据的采样点 bps_start:out std_logic; -接收到数据后,波特率时钟启动置位 rx_data: out std_logic_

3、vector(7 downto 0); -接收数据寄存器,保存直至下一个数据来到 rx_int: out std_logic -接收数据中断信号,接收数据期间时钟为高电平,传送给串口发送); end component; component speed_select port(clk : rst_n: clk_bps: out std_logic; -此时clk_bps的高电平为接收或者发送数据位的中间采样点 bps_start:in std_logic -接收数据后,波特率时钟启动信号置位); component uart_tx port(clk : rst_n: rs232_tx: clk

4、_bps: bps_start: rx_data: in std_logic_vector(7 downto 0); rx_int: in std_logic -接收数据中断信号,接收数据期间时钟为高电平,传送给串口发送模块,使得串口正在进行接收数据的时候,发送模块不工作,避免了一个完整的数据(1位起始位、8位数据位、1位停止位)还没有接收完全时,发送模块就已经将不正确的数据传输出去); signal bps_start_1:std_logic; signal bps_start_2: signal clk_bps_1: signal clk_bps_2: signal rx_data:std

5、_logic_vector(7 downto 0); signal rx_int: begin RX_TOP: uart_rx port map(clk=clk, rst_n=rst_n, rs232_rx=rs232_rx, clk_bps=clk_bps_1, bps_start=bps_start_1, rx_data=rx_data, rx_int=rx_int ); SPEED_TOP_RX: speed_select port map(clk= rst_n= clk_bps= bps_start=bps_start_1 ); TX_TOP:uart_tx port map(clk=

6、clk, -系统时钟 rst_n=rst_n, -复位信号 rs232_tx=rs232_tx, -RS232发送数据信号 clk_bps=clk_bps_2, -此时clk_bps的高电平为发送数据的采样点 bps_start=bps_start_2, -接收到数据后,波特率时钟启动置位 rx_data=rx_data, -接收数据寄存器,保存直至下一个数据来到 rx_int=rx_int -接收数据中断信号,接收数据期间时钟为高电平,传送给串口发送模块,使得串口正在进行接收数据的时候,发送模块不工作,避免了一个完整的数据(1位起始位、8位数据位、1位停止位)还没有接收完全时,发送模块就已经

7、将不正确的数据传输出去); SPEED_TOP_TX:clk_bps_2,bps_start_2end behav;-3个子模块-异步接收模块- entity uart_rx is clk_bps: bps_start: -接收到数据后,波特率时钟启动置位 rx_data: rx_int: out std_logic -接收数据中断信号,接收数据期间时钟为高电平,传送给串口发送模块,使得串口正在进行接收数据的时候,发送模块不工作,避免了一个完整的数据(1位起始位、8位数据位、1位停止位)还没有接收完全时,发送模块就已经将不正确的数据传输出去);end uart_rx;architecture

8、behav of uart_rx is signal rs232_rx0: std_logic; signal rs232_rx1: signal rs232_rx2: signal rs232_rx3: signal neg_rs232_rx: signal bps_start_r: signal num:integer; signal rx_data_r: -串口接收数据寄存器,保存直至下一个数据到来begin process(clk,rst_n) if (rst_n=0)then rs232_rx0=; rs232_rx1 rs232_rx2 rs232_rx3 else if (ris

9、ing_edge(clk) then rs232_rx0=rs232_rx; rs232_rx1=rs232_rx0; rs232_rx2=rs232_rx1; rs232_rx3=rs232_rx2; end if; end if; neg_rs232_rx =rs232_rx3 and rs232_rx2 and not(rs232_rx1)and not(rs232_rx0); end process; bps_start_r rx_int else if(neg_rs232_rx=1) then -接收到串口数据线rs232_rx的下降沿标志信号 bps_start_r -启动串口准备

10、数据接收 rx_int -接收数据中断信号使能 else if(num= 15) and (clk_bps=) then -接收完有用数据信息 bps_start_r -数据接收完毕,释放波特率启动信号 rx_int -接收数据中断信号关闭 end if; end if; bps_start=bps_start_r; rx_data_r=00000000 rx_data num=0; if(clk_bps= numrx_data_r(0)rx_data_r(1)rx_data_r(2)rx_data_r(3)rx_data_r(4)rx_data_r(5)rx_data_r(6)rx_data

11、_r(7)rx_datanumnull; end case; if(num=15) then num end if; end behav; -波特率控制模块- entity speed_select isin std_logic -接收数据后,波特率时钟启动信号置位或者开始发送数据时,波特率时钟启动信号置位);end speed_select;architecture behav of speed_select issignal cnt:std_logic_vector(12 downto 0);signal clk_bps_r:constant BPS_PARA:integer:=5207;

12、constant BPS_PARA_2:=2603; cnt0000000000000 if(cnt=BPS_PARA)or(bps_start=) then cnt -波特率计数器清零 else cnt=cnt+ -波特率时钟计数启动 clk_bps_r if(cnt=BPS_PARA_2) then clk_bps_r -clk_bps_r高电平为接收数据位的中间采样点,同时也作为发送数据的数据改变点 clk_bps_r clk_bps=clk_bps_r;-异步发送模块 - entity uart_tx isend uart_tx;architecture behav of uart_tx is signal rx_int0: signal rx_int1: signal rx_int2: signal neg_rx_int: signal tx_data: rx_int0 rx_int1 rx_int2 rx_int0=rx_int; rx_int1=rx_int0; rx_int2=rx_int1; neg_rx_int =not(rx_int1)and (rx_int2); tx_data if(neg_rx_int= tx_data=rx_data; rs232_txrs232_tx=tx_data(7);-发送第8bit

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1