ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:264.41KB ,
资源ID:17721444      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/17721444.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(RS触发器的设计1Word下载.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

RS触发器的设计1Word下载.docx

1、5当输入信号发生变化时,输出即刻就会发生相应的变化,即抗干扰性能较差。同步RS 触发器(时钟脉冲控制的RS 触发器) 1)、真值表2)、函数表达式3)、逻辑电路图它由两个与非门的输入输出交叉连接而成,如下图:二、详细设计1、打开Quartus软件,建立一个新的工程: 1)单击菜单FileNew Project Wizard. 2) 输入工程的路径、工程名以及顶层实体名。 3)单击Next按钮,本实验没有包含已有文件,单击Next按钮。 4)设置我们的器件信息。 5)单击Next 2、 建立VHDL文件: 1)单击FileNew菜单项,选择弹出窗口中的VHDL File项,单击OK按钮以建立打开

2、空的VHDL文件。 2)在编辑窗口输入VHDL源文件并保存实体名,文件名必须和保存的顶层实体名必须一致。 3)编译工程单击Processing/Start Compliation开始编译,编译过程可能出现若干错误信息,参考提示原因改正程序直到完全正确能够进行编译为止。 3、 建立矢量波形文件 1)单击File/New命令,在弹出的窗口中找到 other file中的Vactoe Waveform File项打开矢量波形窗口。 2)双击窗口左边的空白区域,单击Edit/End Time设置时钟频率,单击Edit/Insert Node and Bus对话框。 3)单击Node Finder 按钮

3、,打开以下对话框,选择Filter下列表中的Pins:all,并点击List按照以下列出的所有端口,通过按钮把这些端口 加入到右面的窗口中,单击OK完成端口的添加4)回到波形编辑窗口,对所有输入端口设置输入波形,具体可以通过左边的工具栏,或通过对信号的单击鼠标哟见的弹出式菜单中完成操作,最后保存次波形文件。 4、进行功能仿真 1)单击AssignmentsSettings.,在弹出对话框将Simiulation mode 设置为Functional,即功能仿真。指定仿真波形文件后单击OK完成设置。 2)单击ProcessingGenetate Functional Simulation Net

4、list以获得功能仿真网络表。 3)单击ProcessingStart Simulation进入仿真页面。 5、进入时序仿真 如果功能仿真无误,可以进入时序仿真,时序仿真是增加了相关延迟的仿真,是最接近实际情况的仿真。1) 单击AssignmentsSettings,在弹出对话框中将Simulation mode设置为Timeing即时仿真。2) 单击ProcessingStart Simulation进入到仿真界面。 6、器件的下载指定器件引脚:1) 单击AssignmentsAssingnments Plns 为每一个引脚赋值。2) 赋值后,重新编绎,形成编程文件。3) 编程器件通过电缆与

5、电脑相连,进行下载到芯片。按照VHDL语言开发流程写出整个开发过程,可以根据如下步骤适当导出程序,程序界面截图到课程设计报告对应模块。1新建工程,工程管理:2.源文件输入-VHDL程序或原理图的设计,Alter内嵌模块的调用(对CPLD而言,只有MAXII还有内嵌模块可以调用)3.综合,编译-检查语法错误,连接错误,生成综合后网表:4.功能仿真-综合后的功能仿真,简单的管脚分配,I/O特性约束,简单的时序约束。三、调试分析以及设计体会1)仿真1.准备网表文件及测试向量文件2.指定模拟终止时间:3.引入欲观察的结点:4.在结点查找器中寻找结点:5.编辑输入激励波形信号:6.执行模拟:2) 设计过

6、程中遇到的问题以及解决问题的方法。在设计过程中,出现的问题有:1.对VHDL编程语言不熟及quartus II软件不熟,对编程下载到芯片感到陌生。经过老师的指教,及同学的帮助,渐渐地能够运用起来了2.那个源程序出了出现了三个错误及15个警告,从头来过后,发现是顶层文件名与编程名不同, 3) 课程设计过程经验教训、心得体会。 数电课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.回顾起此次课程设计,至今我仍感慨颇多,的确,在短短的一个星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固

7、了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次数电课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做数电课程设计,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。这次数电课程设计终于顺利完成了,在设计中遇到了很多问题,最后在老师的辛勤指导下,终于游逆而解。同时,在刘老师的身上我学得到很多实用的知识。总体来说,这次实习我受益匪

8、浅.在摸索该如何设计程序使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力.在让我体会到了设计的艰辛的同时,更让我体会到成功的喜悦和快乐. 这次数电课程设计,虽然短暂但是让我得到多方面的提高:提高了我们的逻辑思维能力,使我们在逻辑电路的分析与设计上有了很大的进步。加深了我们对组合逻辑电路与时序逻辑电路的认识,进一步增进了对一些常见逻辑器件的了解。另外,我们还更加充分的认识到,数字电路这门课程在科学发展中的至关重要性;查阅参考书的独立思考的能力以及培养非常重要,我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到;相互讨论共同研究也是很重要的。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1