ImageVerifierCode 换一换
格式:DOCX , 页数:33 ,大小:1.02MB ,
资源ID:17701838      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/17701838.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于单片机的心电监测系统设计贺州学院大学论文Word文件下载.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于单片机的心电监测系统设计贺州学院大学论文Word文件下载.docx

1、由于心电信号从不同方面和层次上反映了心脏的工作状态,因此在心脏疾病的临床诊断和治疗过程中具有非常重要的参考价值。对心电信号的采集和分析一直是生物医学工程领域研究的一个热点,是一项复杂的工程,涉及到降低噪声和抗干扰技术,信号分析和处理技术等不同领域,也依赖于生命科学和临床医学的研究进展1。自1903年心电图引入医学临床以来,无论是在生物医学方面,还是在工程学方面,心电信号的记录、处理与诊断技术均得到了飞速的发展,并积累了相当丰富的资料。当前,心电信号的检测、处理仍然是生物医学工程界的重要研究对象之一。12心电监测系统的发展现状目前,国内各大医疗器械厂以及科研单位都对心电监测系统的开发上极度关注,

2、并且都研发出了具有特色的心电监测系统产品。电子医疗技术的突飞猛进以及临床医学的相互促进,已经出现了各种各样的心电监测产品,常见的有床边心电监测、动态心电监测、电话心电监测和天线心电监测等。1.2.1床边心电监测从1962年创立了冠心病监护病房以来,国内外均先后开展了床边心电监护。目前,心电监护已广泛应用到临床各种危重病和非危重病的监护,各种手术、特殊检查与治疗的监护。由于床边心电监护的开展,提高了临床诊断水平与医疗质量,极大地改善危重病人的抢救,起到预防和减少医疗事故或医疗纠纷的作用,也减轻了医护人员劳动强度,提高了工作效率。1.2.2动态心电监测动态心电图记录了不同体位、不同状态、不同时间的

3、心电变化,一次获得的信息长达2.16Km,十余万次心搏和十余万次心动周期,现已成为诊断心率失常、心肌缺血、评估起搏功能和药物疗效的高新技术。1.2.3电话心电监测电话传送心电监护是极大地缩短了医患、护患之间的距离,给患者一种安全感,从而稳定了患者不安的心理状态,消除了心理压力和负担,减少了心脏病的诱发因素。作为长期监护的患者,则在不断得到急救、护理指导的同时,提高了自救、自护能力。TTM系统在国内的应用处在起步阶段,院外急救护理也是一个新的课题,需更进一步探讨、总结和提高,以更好地造福于全社会的心脏病患者。但是,如今有很多心电监测仪还没有得到普及,还存在一些问题:(a)提供的心电处理功能和记录

4、的心电信息有限,医生从中难以得到全面的电信息,降低了医生对疾病诊断的准确率。(b)通常都选用了数字信号处理器作为心电数据分析的核心器件,而数据通信、实时时钟、液晶显示、程序存储器等都需要外扩专门的功能器件,致使结构比较复杂,体积比庞大,同时功耗也比较严重,价格比较昂贵,一般患者难以承受。(c)与之配套的监护网络和心电数据处理中心尚不完善。13心电监测系统的未来发展方向和展望传统的基于PC机平台的心电监测仪价格昂贵,体积庞大,不便于移动且主要集中在大医院而无法实时监测患者的病情,给医生和患者带来了很大的不便。近年来,随着计算机网络、通信等相关技术的迅速发展,心电监测技术已经逐渐应用到远程医疗领域

5、中,使得医院为心脏病患者的远程保健服务成为可能。未来的心电监测系统会具有成本低、体积小、可靠性高、操作简单等优点,会继续向全信息、固态记录、多导联同步采集等方向发展,适用于个人、中小医院和社区医疗单位,为家庭保健和远程医疗等新兴的医疗途径提供良好的帮助和支持。2 系统设计方案论证21心电监测系统的总体设计要求本课题的题目是基于单片机的心电监测系统设计。需要心电监测信号经采集装置上传到前置放大器,信号先进行放大8倍,再通过滤波电路进行滤波,滤掉0.05Hz以下频率以及105Hz以上频率,又阻止50Hz工频干扰信号。已经滤波的信号经过后级放大电路将其放大至伏特级别,再经过加法器电路将其波形提升到0

6、V以上,便于单片机A/D转化电路直接转化为数字值。经过处理的心电信号最终由简易的示波器显示到液晶屏上,以便使用者能够实时方便的观察到心电波的频谱与波形。液晶屏选用具有KS0108控制器的12864LCM,采用AT89C51单片机进行数据的处理并驱动显示屏显示波形。22心电监测系统的系统结构设计对于心电信号的采集,采用标准导联方式进行采集。心电信号是一种微弱的电信号,要先利用前置放大电路将信号放大8倍。由于人体信号源中存在各种噪声干扰,为了抵消这些干扰,可以设计一个补偿电路。对于放大以后的信号,让它通过滤波电路进行滤波。心电信号中存在0.05Hz以下的频率信号、105Hz以上的频率信号和50Hz

7、的工频干扰信号,需要让心电信号依次通过低通滤波器、高通滤波器和带阻滤波器,经过滤波电路以后的信号变的比较干净。然后为将心电信号放大到伏特级别,让其通过一个主放大电路。同时,为了便于单片机和ADC0808的信号采集和处理,可以让心电信号通过一个加法器电路,将波形提升到0V以上。然后通过显示电路让经过单片机处理的信号显示在液晶屏上。整个系统的结构图如图2.1所示。图2.1系统结构图23心电监测系统设计的可行性论证在所学的知识中,对于心电信号采集,可以利用特定的传感器进行心电信号的采集;对于前置放大电路,可以采用集成仪表放大器AD620进行信号的前置放大;对于补偿电路,可以用运算放大器和反馈电阻组成

8、补偿电路;对于滤波电路,可以利用有源滤波器电路通过计算设计出符合要求的低通滤波器、高通滤波器和带阻滤波器;对于主放大电路,可以利用集成运算放大器对信号进行再次放大;对于加法器电路,可以利用集成运算放大器来进行加法器的设计;对于心电信号的数字化以及分析处理,可以利用单片机和ADC0808来设计硬件电路;对于显示电路,可以让心电信号在液晶上显示。A/D转换电路,显示电路以及单片机对心电信号的分析处理需要进行软件的编写。通过论证,上述的系统结构设计方案可行,可以达到心电监测系统的设计要求。3硬件电路设计31心电信号采集电路的设计心电信号是一种典型的人体生理信号,具有生物电信号的普遍特征,如幅度小、频

9、率低并且易受外界环境干扰,为采集和测量带来了难度。由于本系统需要进行大量的数学运算,所以对处理器的数据处理能力和速度也有很高的要求。如果选用处理速度很快的处理器,则相应的外设也要有与之相适应的性能指标16。综合各个方面因素,电路设计要求:(1)对微弱的心电心电信号进行放大和滤波等必要的信号调理a)设计合理的导联系统,选择合适的传感器。b)设计合理的有源滤波器,能够进行005-100Hz的带通滤波,50Hz陷波。c)实现1000倍的信号放大。d)实现信号电压抬高。(2)进行符合要求的AD转换根据采样定理,采样频率要是心电频率的2倍以上,所以A/D的采样频率至少要达到200Hz以上。(3)设计电源

10、电路32前置放大电路的设计本设计中的前置放大电路采用集成仪表放大器AD620。由于所要处理的电信号比较微弱,况且其波形质量要求偏高,须具有高输入阻抗,高共模抑制比,低噪声以及低漂移。因此在本次设计中可以选用集成仪表放大器AD620来进行前置放大电路的设计。3.2.1AD620芯片简介AD620内部由三个放大器共同组成,其引脚图如图3.2所示。在使用中,芯片1、8脚接Rx,4、7脚接正负相等的工作电压,2、3接输入的弱电压信号,6脚为输出引脚,5脚为参考基准。图3.2 AD620管脚图本设计可以通过调整Rx的大小来调整AD620的增益值,其增益可以通过公式(3.1)进行计算。 (3.1)AD62

11、0增益范围是11000。它具有低耗电,温度稳定性好,精确度高,低噪声,放大频带宽,具有较高的共模抑制比,噪声系数小,调节方便等优点。该芯片可提供的最大电流为1.3mA的电流。适用于ECG测量、医疗器件、压力测量、信号采集等场合。3.2.2 前置放大电路设计如图3.3所示,差分输入端IO2、IO3分别接标准导联的正负输入端,R1、R4 、R5共同决定放大电路的放大倍数。在整体的电路工作中,因为心电信号比较微弱,须放大1000倍左右。但是,依据小信号放大器的设计原则,前级的增益不能设置太高,所以前级增益过高将不便于后续电路对噪声的处理,故在本次设计中,可以要求前级电路放大8倍以便于后面对心电信号进

12、行处理。图3.3前置放大电路3.2.3元器件参数计算表3.2元器件参数元器件R1R4R5C1参数8.25k24.9k4.7FAD620的外围电路仅为一个控制增益的电阻Rx,由公式(3.1)计算可以得出此处的增益: (3.2)通过计算,从中可以看出前置放大电路的增益约为8倍,符合设计要求。因此本设计可以选用表3.2中的元器件来搭建放大电路,保证设计的前置电路符号设计的要求。33补偿电路的设计在本次设计中可以引入补偿电路3。是为了能够抵消人体信号源中的各种噪声干扰,也包括工频干扰。引入补偿电路是通过在前级放大电路的反馈端与信号源地端建立共模负反馈,为提高反馈深度,将反馈信号放大后接人体信号源参考端

13、,这样能够有效的抵消工频干扰。运算放大器AD705J、R2、R3、C1共同组成补偿电路,IO1连接人体信号源参考端。电路如图3.4所示。图3.4补偿电路电路图34滤波电路的设计3.4.1低通滤波器电路的设计为了滤除105Hz以上的干扰信号,需要设计一个截止频率为105Hz的低通滤波器。本设计可以采用有源低通滤波器,根据学过的滤波器知识,先确定低通滤波器的大致形式,然后通过计算确定滤波器选用的电阻、电容值,确定截止频率为105Hz。在这次设计中,可以采用的运算放大器为OP07,设计的电路图如图3.5所示。图3.5低通滤波器电路图根据设计要求,,由公式(3.3) (3.3)可以计算出若,则。由于采

14、用巴特沃斯滤波器,可知又因为公式(3.4) (3.4)可以计算得出表3.3元器件参数组件名R2R3C2参数值10k20k318nF71nF通过计算,设计的低通滤波器满足截止频率为105Hz的设计要求。各元器件的参数值如表3.3所示。3.4.2高通滤波器电路的设计为了滤除0.05Hz以下的干扰信号,需要设计一个截止频率为0.05Hz的高通滤波器。本设计可以采用有源高通滤波器,根据学过的滤波器知识,先确定高通滤波器的大致形式,然后通过计算确定滤波器选用的电阻、电容值,确定截止频率为0.05Hz。在这次设计中,可以采用的运算放大器为OP07,设计的电路图如图3.6所示。图3.6高通滤波器电路图,由公

15、式(3.5) (3.5)又因为公式(3.6) (3.6)表3.4元器件参数450k225k27nF通过计算,设计的高通滤波器满足截止频率为0.05Hz的设计要求。各元器件的参数值如表3.4所示。3.4.3 带阻滤波器电路的设计为了滤除50Hz的工频干扰信号,需要设计一个50Hz工频的带阻滤波器,尽可能的消除工频干扰3。本设计可以采用有源带阻滤波器,根据学过的滤波器知识,先确定带阻滤波器的大致形式,然后通过计算确定滤波器选用的电阻、电容值,确定阻带频率为50Hz。在这次设计中,可以采用的运算放大器为OP07,设计的电路图如图3.7所示。图3.7带阻滤波器电路图,由公式(3.7) (3.7)能够计

16、算出假如令参数值输入到电路中发现,衰减3dB时的带宽为4357Hz,但衰减深度仅为7.43dB,需要调整器件参数值。经多次调整,最后选定能通过计算得出: (3.8) (3.9)为了调整深度,仿真调试后选用最佳K值为0.96,取R4、R6电阻初始值为5k,故: (3.10) (3.11)表3.5元器件参数R6C347 k23.5 k200 4.98 k68 nF136 nF通过计算,设计的带阻滤波器满足截止频率为50Hz的设计要求。各元器件的参数值如表3.5所示。35主放大电路的设计人体输出的心电信号大约是毫伏级别的,为了便于单片机采集,本设计需要将心电信号放大到伏特级别。在前置放大电路中,为了

17、便于滤波,已经将心电信号放大了8倍。理论上需要将心电信号放大1000倍。所以,本设计需要再设计一个主放大电路,继续将信号放大125倍。在电路设计上,可以采用运算放大器OP07完成。为了增加输入阻抗降低输出阻抗,可以在主放大电路前加一个电压跟随器电路。主放大电路如图3.8所示。图3.8主放大电路图从图3.8可以看出,前一个运算放大器组成电压跟随器电路,后一个运算放大器组成反相放大电路,放大倍数由R1、R3、R23共同决定,此处增益为125。36同相加法器电路的设计经过主放大电路的处理,已经把心电信号放大到了伏特级别,但是,心电信号可能存在负电压,不方便单片机和ADC0808的采集,需要设计一个加

18、法器电路,把心电信号提升到0V以上,最后在程序编写时再将检测到的信号减去信号相加的直流电压即可以得到实际的电压值。加法器电路如图3.9所示。图3.9加法器电路图同相加法器电路中,存在如下关系: (3.12) (3.13) (3.14)取,则根据上式可以计算出其它元器件的值,如表3.6所示。表3.6元器件参数R750k25k1k37ADC0808转换电路的设计3.7.1ADC0808芯片简介ADC0808是采样分辨率为8位的、以逐次逼近原理进行模/数转换的器件。ADC0808芯片有28条引脚,采用双列直插式封装,其管脚图如图3.10所示。图3.10 ADC0808管脚图3.7.2 信号采集电路的

19、设计把ADC0808的输出口分别依次与单片机的P0口连接,3位地址输入线都接地,选择IN0路作为模拟输入端,心电信号由此端输入。给ADC0808芯片连接电源,时钟信号。如图3.11所示。该图为心电信号的采集电路。图3.11信号采集电路38显示电路的设计3.8.1单片机芯AT89C51最小系统电路AT89C51单片机为很多嵌入式控制系统能够满足灵活性高且价廉的设计。对于AT89C51单片机而言,最下系统应该包括单片机、晶振电路和复位电路。如图3.13所示。图3.13单片机最小系统电路图3.8.2 键盘电路的设计为了能够更好的观察液晶显示的心电信号波形,本设计需要对显示波形的周期和幅度加以控制,使

20、它能够完整的显示在液晶屏上,不会出现波形的失真。为此,需要设计一个键盘电路,控制波形显示的周期和幅度。对此,通过定义单片机的P3.0为Y轴幅度的减少控制端口,P3.1 为Y轴幅度的增加控制端口,P3.3为X轴幅度的减少控制端口,P3.7为X轴幅度的增加控制端口。通过程序的编写,本设计可以使得每按下一次按键,就可以得到相应的波形的改变,方便对心电信号的观察。键盘电路图如图3.14所示。图3.14键盘电路图3.8.3 显示电路的设计12864是128*64点阵液晶模块的点阵数简称,是业界约定俗成的简称。该点阵的屏显成本相对较低,适用于各类仪器,小型设备的显示领域。其管脚图如图3.15所示。图3.1

21、5液晶管脚图12864内部存储器DDRAM与显示屏上的显示内容具有一一对应的关系,只需将显示的内容写入到12864内部显示存储器DDRAM中,就能实现正确显示。12864液晶显示屏横向有128个点,纵向有64个点,分为左半屏和右半屏。在本次设计要求中,要求通过12864点阵图形液晶显示模块显示单片机处理后的心电图形。12864点阵图形液晶显示模块的各管脚的功能如表3.8所示。表3.8 12864点阵图型液晶显示模块的引脚功能引脚符号功能11:选择左边64*46点2选择右边64*46点3GND地4VCC+5V电源5V0显示驱动电源05V6RS数据输入,0:命令输入7R/W数据读取,0:命令写入8

22、E使能信号,负跳变有效916DB0DB7数据信号17复位,低电平有效18-VoutLCD驱动负电源在本次设计中,既在液晶上显示了汉字,也将实时显示心电信号的波形。显示电路电路图如图3.16所示。由单片机驱动显示屏进行显示。其中,P1.0P1.7为显示屏数据端口D0D7,P2.0P2.4为显示屏控制端口。图3.16显示电路4程序的设计41程序编译环境该论文选择的单片机是AT89C51,他的编译环境就是51单片机下的编译环境Keil 2。首先创建一个工程文件,然后新建文件编写程序,通过编译生成hex文件。把生成的hex文件加载到Protues仿真软件的单片机中就可以进行相应的仿真调试。42程序设计思想本次设计中,程序的主要功能是进行A/D转换,将输入的模拟信号转换为数字信号,后经过数据的处理,驱动12864LCD显示信号的波形和相关信息。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1