ImageVerifierCode 换一换
格式:DOCX , 页数:30 ,大小:137.89KB ,
资源ID:17676359      下载积分:2 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/17676359.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(完整verilog考试题Word文件下载.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

完整verilog考试题Word文件下载.docx

1、001;”那么a,b( C )(A) 4b0011 (B) 3b001 (C) 4b1001 (D) 3b1017。根据调用子模块的不同抽象级别,模块的结构描述可以分为(ABC )(A) 模块级 (B)门级 (C) 开关级 (D) 寄存器级8在verilog语言中,a=4b1011,那么 &a=(D )(A) 4b1011 (B) 4b1111 (C) 1b1 (D) 1b09在verilog语言中整型数据与( C )位寄存器数据在实际意义上是相同的.(A) 8 (B) 16 (C) 32 (D) 64二、简答题(2题,共16分)1Verilog HDL语言进行电路设计方法有哪几种(8分)1、

2、自上而下的设计方法(Top-Down)2、自下而上的设计方法(Bottom-Up)3、综合设计的方法2specparam语句和parameter语句在参数说明方面不同之处是什么(8分)。1、specparam语句只能在延时的格式说明块(specify块)中出现,而parameter语句则不能在延时说明块内出现2、由specparam语句进行定义的参数只能是延时参数,而由parameter语句定义的参数则可以是任何数据类型的参数3、由specparam语句定义的延时参数只能在延时说明块内使用,而由parameter语句定义的参数则可以在模块内(该parameter语句之后)的任何位置说明三、画波

3、形题(每题8分,共16分)1.根据下面的程序,画出产生的信号波形(8分)module para_bloc_nested_in_seri_bloc(A,B); output A,B; reg a,b; initial begin A=0; B=1; 10 A=1; fork B=0; 10 B=1; 20 A=0; join 10 B=0; #10 A=1; end endmodule 根据下面的程序,画出产生的信号波形(8分)module signal_gen1(d_out);output d_out;reg d_out;initialbegin d_out=0; #1 d_out=1; 2

4、d_out=0; 3 d_out=1; 4 d_out=0;endendmodule四、程序设计(4题,共50分)1. 试用verilog语言产生如下图所示的测试信号(12分)module signal_gen9(clk,in1,in2);output in1,in2,clk;reg in1,in2,clk; in1=0; in2=1; clk=0;initial #15 in1=1 #10 in1=0; #5 in1=1; 10 in1=0; #5 in2=0; #5 in2=1; 25 in2=0;always 5 clk=clk;2试用verilog语言,利用内置基本门级元件,采用结构描

5、述方式生成如图所示的电路(12分)module MUX4x1(Z,D0,D1,D2,D3,S0,S1);output Z;inout D0,D1,D2,D3,S0,S1;and u0 (T0, D0, S0bar, S1bar) , u1(T1, D1, S0bar, S1), u2 (T2, D2, S0, S1bar), u3 (T3, D3, S0, S1) ,not u4 (S0bar, S0), u5 (S1bar, S1);or u6 (Z, T0, T1, T2, T3);3. 试用verilog语言描述:图示为一个4位移位寄存器,是由四个D触发器(分别设为U1,U2,U3,U4

6、)构成的.其中seri_in是这个移位寄存器的串行输入;clk为移位时脉冲输入;clr为清零控制信号输入;Q1Q3则为移位寄存器的并行输出。(14分)module d_flop(q,d,clr,clk); output q; input d,clr,clk; reg q; always (clr) if(!clr) assign q=0; else deassign q; always (negedge clk) q=d;module shifter(seri_in,clk,clrb,Q); input seri_in,clk,clrb; output3:0 Q; d_flop U1(Q0,s

7、eri_in,clrb,clk), U2(Q1,Q0,clrb,clk), U3(Q2,Q1,clrb,clk), u4(Q3,Q2,clrb,clk); endmodule4利用有限状态机,以格雷码编译方式设计一个从输出信号序列中检测出101信号的电路图,其方块图、状态图和状态表如图表示。(12分)module melay(clk,Din,reset,Qout);input clk,reset;input Din;output Qout;reg Qout;parameter1:0 S0=2b00,S1=2b01,S2=2b11;reg1:0 CS;reg1:0 NS;always (pose

8、dge clk or posedge reset) begin if(reset=1b01) CS=S0; else CS=NS;always (CS or Din) case(CS) S0:beign if(Din=1b0) NS=S0; Qout=1b0; else NS=S1; S1: if(Din=1b0) NS=S2; NS=S1; S2: Qout=1b0; endcase填空题(10分,每小题1分)用EDA技术进行电子系统设计的目标是最终完成ASIC的设计与实现。2.可编程器件分为FPGA和CPLD。3。随着EDA技术的不断完善与成熟,的设计方法更多的被应用于VerilogHDL

9、设计当中。4.目前国际上较大的PLD器件制造公司有和公司.5.完整的条件语句将产生电路,不完整的条件语句将产生电路.6。阻塞性赋值符号为,非阻塞性赋值符号为二、选择题(10分,每小题2分)大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是AFPGA全称为复杂可编程逻辑器件;BFPGA是基于乘积项结构的可编程逻辑器件;C基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D在Altera公司生产的器件中,MAX7000系列属FPGA结构。基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入综合_适配编程下载硬件测试。正确的是功能仿真

10、时序仿真逻辑综合配置分配管脚ABCD3.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化流水线设计资源共享逻辑优化串行化寄存器配平关键路径法ABCD下列标识符中,_是不合法的标识符.A9moonBState0CNot_Ack_0Dsignall下列语句中,不属于并行语句的是:_A过程语句Bassign语句C元件例化语句 Dcase语句三、EDA名词解释(10分)写出下列缩写的中文含义:ASIC: RTL:FPGA: SOPC:CPLD: LPM:EDA: IEEE:IP: ISP:四、简答题(10分)1.简要说明仿真时阻塞

11、赋值与非阻塞赋值的区别(本题4分)。简述有限状态机FSM分为哪两类?有何区别?有限状态机的状态编码风格主要有哪三种?FSM的三段式描述风格中,三段分别描述什么?(本题6分)五、程序注解(20分,每空1分)moduleAAA(a,b);outputinput6:0breg2:sum;integeri;regalways(b)beginsum=0;for(ii=6;ii+1)if(bi)sum+1;if(sum2)1;else0;endmodule本程序的逻辑功能是:四、VerilogHDL语言编程题(1、2小题10分,3小题20分)要求:写清分析设计步骤和注释。试用VerilogHDL描述一个带

12、进位输入、输出的8位全加器.端口:A、B为加数,CI为进位输入,S为和,CO为进位输出编写一个带异步清零、异步置位的D触发器。CLK为时钟,D为输入,CLK为清零输入端,SET为置位输入端;Q输出端.设计一个带有异步复位控制端和时钟使能控制端的10进制计数器。端口设定如下:输入端口:CLK:时钟,RST:复位端,EN:时钟使能端,LOAD:置位控制端,DIN:置位数据端;输出端口:COUT:进位输出端,DOUT:计数输出端。一、填空题(每空2分,共20分)1、2、CPLD3、自顶向下4、AlteraXilinx5、组合时序6、=(10分,每小题2分)1、CB3、BA5、D专用集成电路RTL寄存

13、器传输级现场可编程门阵列SOPC可编程片上系统复杂可编程逻辑器件LPM参数可定制宏模块库EDA电子设计自动化IEEE电子电气工程师协会IP知识产权核ISP在系统编程1、简要说明仿真时阻塞赋值与非阻塞赋值的区别(本题4分)。答:非阻塞(non-blocking)赋值方式b=a):b的值被赋成新值a的操作,并不是立刻完成的,而是在块结束时才完成;块内的多条赋值语句在块结束时同时赋值;硬件有对应的电路。阻塞(blocking)赋值方式b的值立刻被赋成新值a;完成该赋值语句后才能执行下一句的操作;硬件没有对应的电路,因而综合结果未知.FSM的三段式描述风格中,三段分别描述什么?(本题6分)Mearly

14、型,Moore型;前者与输入与当前状态有关,而后者只和当前状态有关;Binary,Gray,One-Hot编码;分别为状态保存,状态切换,输出;五、程序注解(20分,每空1分)7人投票表决器。六、VerilogHDL语言编程题(1、2小题10分,3小题20分)写清分析设计步骤和注释.1.试用VerilogHDL描述一个带进位输入、输出的8位全加器。A、B为加数,CIN为进位输入,S为和,COUT为进位输出add4v(a,b,ci,s,co);input3:0a,b,ci;output3:s;co;wire3:carry;functionfa_s(inputa,inputb,inputci);f

15、a_sci;endfunctionfa_c(inputa,inputci);fa_c|ciassigns0fa_s(a0,b0,ci);carry0fa_c(a0,b0,ci);s1fa_s(a1,b1,carry0);carry1fa_c(a1,b1,carry0);s2fa_s(a2,b2,carry1);carry2fa_c(a2,b2,carry1);s3fa_s(a3,b3,carry2);cofa_c(a3,b3,carry2);2.编写一个带异步清零、异步置位的D触发器。CNT10(CLK,RST,EN,LOAD,COUT,DOUT,DATA);CLK,EN,RST,LOAD,DATA;3:DOUT,COUT;3:Q1COUTDOUTQ1;(posedgeCLKornegedgeRST)if(!(EN)LOAD)DATA;(Q19) cout,q3=q3+6;q4=d+d1+cout;if(q49) cout,q4=q4+6;四、编程 (15分)编程实现带异步清0、异步置1的D触发器。五、编程 (15分)编程实现求补码的程序,输入是带符号的8位二进制数。六、编程 (14分)编程实现一个并行加载串行输出的程序,输入是一个8位的二进制数。二一二一一学年第二学期(B)卷考试方式(闭)卷 考试时

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1