ImageVerifierCode 换一换
格式:DOCX , 页数:18 ,大小:613.43KB ,
资源ID:17048674      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/17048674.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(电子CAD及CPLDWord文档格式.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

电子CAD及CPLDWord文档格式.docx

1、2)选择目标器件“Assign”“Device”ACEX1K系列EPK1K30TC144-1目标器件OK3)编辑及纠错菜单“MAX+plusII”“Compeiler”“Start3项目检测)逻辑仿真“File”“New”下WEF项)选择信号结点“Node”“Enter Nodes from SNF”点击“list”3)设置波形参量,包括网格设置,仿真时间长度)编辑输入激励信号(产生的波形如下图)波形存盘)仿真7)分配管脚,如图元件封装封装方法:打开文件“ile”Great Defaul Symbol”,此时即将当前文件变成了一个封装好的元件。四小结与体会利用EAD工具进行电路原理图输入设计,

2、该优点是设计者能利用已有的电路知识迅速入门,完成中小规模的电路系统设计,而不必具备其他诸如编成设术,硬件语言等。在编辑电路图过程中调用的各种元器件在元器件库中,由厂家提供并随软件一同按装到计算机中,集中存储在指定路径的器件或电路文件中。同时,还学习到可以自己建立一个自己专用的工具器件库。实验二用触发器设计异步四位二进制加法计数器一、实验目的、了解时序电路的经典设计方法,即用触发器和一般逻辑门组成的时序逻辑电路、掌握组合逻辑电路的设计方法、了解异步计数器计数的方法二实验内容用D触发器设计异步四位二进制加法计数器;三实验原理如下实验原理图,进行电路原理图输入设计:在对于顶层设计,输入,输出引脚就是

3、最终集成器件产品对外的引脚,若是底层子电路的设计,则输入输出引脚就是该电路与其他电路部件之间的接口,因此在编辑图形时须对其进行唯一性命名。在项目编辑中只有将输入文件设置成为工程文件,即当前文件才能对其进行编译处理。注意事项,文件夹名不能用中,且不可带空格。实验三 6进制、60,24进制计数器1、掌握组合逻辑电路的设计方法2,加深对设计过程的了解、比较原理图输入与文本输入的优劣、为下面试验特别是数字钟综合设计做好基础二、实验原理用VHDL编写程序代码)进制VHDL代码library ieee;use ieee.std_logic_1164.all;use ieee.stu_logic_unsig

4、ned_all;entity c60_41_42 isport (clk,clr,en:in stu_logic; carry:out std_logic; ge,shi:out stu_logic_vector(3 downto 0);end;architecture one of c60_41_42 issignal g,s: std_logic_vector (3 downto 0);beginprocess(clk,clr,en,g,s)if clr=1 then g=”0000”;selse if clkevent and clk=1 thenif en=0 thenif g=”10

5、01” and s=”0101” thencarry=1;elsif g=”1001” then =s+1;eles=g+1;=0;end if;ene if;ge=g;shi=s;end process;100进制程序:use ieee.std_logic_unsigned.all; entity c100_41_42 is port(clk,clr,en:in std_logic; carry : ge,shi :out std_logic_vector(3 downto 0); end;architecture one of c100_41_42 issignal g,s :std_lo

6、gic_vector(3 downto 0);process(clk,clr,en,g,s ) begin if clr=1 then g=0000; elsif clkevent and clk= if en=0 then if g=1001 and s= elsif g= else g=”0101” thenspeaker=clk2;elsif fs=”0000” and fg=”0000” and ms=”0000” and mg=”0000” thenspeaker =clk3;else=02) 比较器的程序代码entity bijiaoqi_41_42 isprot(fg,fs,ss

7、,sg,dfg,dfs,dss,dsg:in stu_logic_vector(3 downto 0); q:out stu_logic);architecture one of bijiaoqi_41_42 is process(fg,fs,ss,sg,dfg,dfs,dss,dsg)if ss=dss and sg=dsf and fs=dfs and fg=dfg thenq3)多选一的程序代码entity dxy_41_42 isport(s: A,B:in std_logic_vector (23 downto 0);X:out stu_logic_vector(23 downto

8、0);architecture one of dxy1_41_42 isprocess(s,A,B)if s=0 then x=a;else x=b;4)分频器的的程序代码entity fpq_41_42 isport(clk:count:out syd_logic);architecture one of fpq_41_42 issiginal:m:std_logic_vector(16downto 0);begin process (clk,m)if m=19999 thenm=”0 0000 0000 0000 0000”;count else m=m+1;end if ;四结与体会在进

9、行设计时文件的存盘是文件名必须与程序的实体名一致,并且文件名的后缀与使用的语言形式对应。在plusII里,文件后缀为”vhd”.当选择了的文件后缀存盘后,文件的主关键词就会改变颜色,这可以使程序读起来更清晰,同时便于查错。实验五数字钟综合设计、掌握多位计数器相连的设计方法;.掌握十进制、六进制,二十四进制技术其实的设计方法;、继续巩固多位共用级扫描显示数码管的驱动及编码;、掌握扬声器的驱动;、灯的花样显示;、掌握技术的层次化设计方法;、能将数字钟的各个单元电路组合成整机电路;、会装配和调制数字钟电路;、会制作分频电路;、会用中规模集成电路制作出组合逻辑电路和时序逻辑电路。在同一芯片上集成了如下

10、电路模块:、时钟计数:秒进制码计数;分进制BCD码计数: 时24进制BCD码计数;2、同时计数器有清零、调分、调时功能;3、在接近整数时能提供报时信号;4、具有驱动8位八段共阴极扫描数码管的片选驱动信号输出和八段字形译码输出5、扬声器在整点时有报时驱动信号产生。此设计任务分为若干模块,规定每一块的功能和个模块之间的接口。先分做和调试其中之一,然后再将各模块联合起来联试。对不同目录下的同一设计,模块说明如下:各种进制的计数及时钟控制模块;扫描分时显示,译码模块;扬声键编码模块;倒计时功能;微秒功能;闹钟功能;个模块都是由AHDL语言编写。三、实验电路四、试验完成的效果1、可清零;2、秒、分为00

11、59六十进制计数器,时为0023二十四进制计数器;3、可手动校正分、时;4、计时过程具有报时功能,当时间到达整点时前10s进行蜂鸣报时;5.倒计时功能6、闹钟功能。五、小结及体会如果设计项目有多个VHDL/VerilogDHL文件组成,应先对各低层次文件(元件),如或门或半加器分别进行编辑、设置成工程、编译、综合、乃至仿真测试并存盘后以备后用。最后将定顶层文件(存在同一目录)设置为工程,统一处理,这是顶层文件能根据例化语句自动调用底层文件。 对数字钟的设计和制作,让我了解了设计电路的程序,也了解了关于数字钟的原理和设计理念,要设计一个电路总要仿真,仿真成功后才接线。但是最后的成品却不一定与仿真

12、时完全一样,因为实际接线中有着各种各样的条件约束。而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能成功。所以,在设计时应考虑两者的差异,从中找出最合适的设计方法。实验六 PROTEL99SE原理图印制电路板图(PCB)设计一实验目的1.初步掌握软件的使用。2.了解由组成的多谐振荡器,组成单稳态触发器。多谐振荡器的工作原理如下:当工作电源接通后,通过R1,R2对电容C1充电,当上的电压上升到V1时,触发器复位,输入为零,同时,内部放电三极管导通,通过、(内部)放电,当下降到时,触发器置位,输出为。()设计电路原理图,包括(装入元器件库,放置及调整元器件位置,编辑元器件属性,绘制原

13、理图)()电路图的后期处理,包括(检查电路原理图,电路原理图的修饰)()设计印刷电路板()生成各种电路原理图报表文件(主要生成网络表文件)打开软件,建立一个新的文件,将所生成的文件引入左侧区中。在工作区内将由组成的多谢振荡器的电路图画入。()查看实验结果五、小结与体会经过和原生成网络报表的比较可以看出结果是正确的。布线是有很大的学问(强弱电分开、信号等长、平行走线、一点接地等等)课程总结CPLD技术是一项实际操作的技术,是一项要求理论和实际操作的能力比较强的课程,是我们第一次较系统的理论操作学习课程。开始做实验时,有许多有关写程序,编辑,连接电路原理图多不是很清楚,所以刚开始有点不知所措,面对

14、电脑盲目的乱操作。不过在老师的细心的讲解,逐步的分析示范下我慢慢开始对开发软件有所了解,一些基本的操作也清楚了,自己也慢慢开始能自己动手做了,不再完全依赖于老师了。最后我们组独立完成了老师布置的基本实验任务数字钟,并且在完成老师的基本要求下,我们还对数字钟作了相应的改进,加入了些我们自己的想法,如每隔秒闹钟报时,手动控制闹钟的响与灭,指示灯花样闪亮,还有一件多功能使用。尽管我们没在老师规定的时间内完成,打的试验操作分也不高,不过我们还是很欣慰的,毕竟我们是努力过,成功的完成了实验。学到了不少的知识,由以前不了解到了解,由不会自己动手做到现在可以独立完成实验,做出一个像样的产品,由以前对这些设计没兴趣到有兴趣。这些都要感谢几位老师的悉心教导。这样的实验课的确很有意思,不仅可以锻炼我的动手能力,而且可以不断的发散我们的创新思维。不过在做实验时有很多自己想加入的试验功能由与自己掌握的只是不够而不能实现,这一点更能激励我们去学习更多的电子设计的知识,不断的完善自己,使自己迈上更高的台阶。 .

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1