ImageVerifierCode 换一换
格式:DOCX , 页数:27 ,大小:538.47KB ,
资源ID:17014813      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/17014813.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(电子信息EDA技术实验指导书Word文件下载.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

电子信息EDA技术实验指导书Word文件下载.docx

1、use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity test is Port ( led:out std_logic; key:in std_logic );end test;architecture Behavioral of test isbeginled Start Compilation编译该文件,系统将开始编译,结束后,给出提示信息和编译结果,如图5-12所示:图12 编译结果显示( 7 ) 仿真( 8 )建立时序仿真文件,如图9所示,选择“Ve

2、ctor Waveform File”,出现图10的界面,在Name空白处击右键,InsertInsert Node or Bus。 图 10图11在图11中单击 图12在12中单击,再单击 OKOK。如图13所示图13仿真文件存盘时,文件名字必须与顶层文件同名,即test,默认即可。 图14图15图15是为仿真输入赋值的。如想赋值1,单击 。( 9 ) 单击菜单进行仿真 图16仿真结果如图17。图17 仿真波形(5) 点击Assignment-Pins进行引脚分配,实验箱上拨码开关和LED对应的引脚分别为58和98,分配结果如图18所示。图18 引脚分配(6) 参照步骤(5)重新编译系统,系

3、统将生成FPGA配置文件,在编译过程中若显示警告可不理会;(7) 准备下载,注意打开实验箱电源,并连接下载电缆;(8) 点击Tools-Programmer将配置文件下载到FPGA,系统显示如图19所示,注意选择下载模式为JTAG,若下载线硬件显示“No Hardware”(如图19所示),则点击Hardware Setup按钮,系统显示如图20,双击USB-Baster,设定其为当前选定硬件,再点Close返回。图19 下载界面图20 下载线配置这时,系统界面如图21,选中Program/Configure,点击Start,将配置文件下载到FPGA。图21 配置好下载电缆的下载界面观察实验效

4、果,尝试开关拨码开关K1,发光二极管D1-1将随之亮灭。实验一、计数器程序设计1、实验目的:1)了解计数器的工作原理;2)用VHDL语言编写60进制计数器,通过设计熟悉EDA开发的基本流程;3)熟悉Quartus II软件的使用,练习使用文本编辑器;4)掌握VHDL编写中的一些小技巧。2、实验要求:(1)在实验报告结果分析中要对测量所得数据进行分析3、实验内容: 利用VHDL语言 设计60进制计数器,编译、仿真、下载4、主要仪器设备及试剂:1)计算机一台,实验箱2)QUARTUS II 8.1环境5、实验步骤 按照QUARTUS II软件使用方法,为60进制计数器建工程。工程名应为cntm60

5、(实体名)。参考程序如下。要求编译、仿真、下载。library ieee; -调用ieee库use ieee.std_logic_1164.all; -使用ieee库中的1164包use ieee.std_logic_unsigned.all; -使用ieee库中的无符号包entity cntm60 is port(ci :in std_logic; -来至低级的进位 nreset: -清零端 load : -置数端 d :in std_logic_vector(7 downto 0);-与置数端对应的数据输入端 clk : 时钟端 co : 进位输出端 qh :buffer std_logi

6、c_vector(3 downto 0); -计数器的高位输出端 ql :buffer std_logic_vector(3 downto 0); -计数器的低位输出端end cntm60;architecture behave of cntm60 isco=1when(qh=0101and ql=1001and ci=)else0; process(clk,nreset) begin if(nreset=)then qh=0000 ql elsif(clkevent and clk= if(load=d(7 downto 4);=d(3 downto 0); elsif(ci= if(ql=

7、9)then if(qh=5)then else=qh+1; end if;=ql+1; end process;end behave;实验二、七段译码器器设计1)了解七段译码器的原理。2)进一步熟悉EDA开发的基本流程。利用VHDL语言完成动态译码器的设计,仿真,下载。use ieee.std_logic_arith.all;entity decl7 isport( a: in std_logic_vector(3 downto 0); sel:out std_logic_vector(2 downto 0); ledl7:out std_logic_vector(6 downto 0);e

8、nd decl7;architecture behave of decl7 issel ledl7 null; end case;end process;实验三、多功能数字钟设计1)了解数字钟的原理;3)进一步掌握自顶向下的数字系统设计方法,并体会其优越性;利用综合设计方法完成数字钟的设计,仿真,下载。5、 实验原理多功能数字钟应该具有的功能有:显示时分秒、整点报时、小时和分钟可调等基本功能。首先要知道钟表的工作机理,整个钟表的工作应该是在1Hz 信号的作用下进行,这样每来一个时钟信号,秒增加1 秒,当秒从59 秒跳转到00 秒时,分钟增加1 分,同时当分钟从59 分跳转到00 分时,小时增加

9、1 小时,但是需要注意的是,小时的范围是从023 时。在实验中为了显示的方便,由于分钟和秒钟显示的范围都是从 059,所以可以用一个3 位的二进制码显示十位,用一个四位的二进制码(BCD码)显示个位,对于小时因为它的范围是从023,所以可以用一个2 位的二进制码显示十位,用4 位二进制码(BCD 码)显示个位。实验中由于七段码管是扫描的方式显示,所以虽然时钟需要的是 1Hz时钟信号,但是扫描的确需要一个比较高频率的信号,因此为了得到准确的1Hz 信号,必须对输入的系统时钟进行分频。对于报警信号,由于实验箱上只有一个小的扬声器,而要使扬声器发声,必须给其一定频率的信号进行驱动,频率越高,声音越尖

10、。另外由于人耳的听觉范围是300Hz3.4KHz 左右,所以设计时也要选择恰当的发声频率。实验内容本实验的任务就是设计一个多功能数字钟,要求显示格式为小时分钟秒钟,整点报时,报时时间为10 秒,即从整点前10 秒钟开始报警,且前五次报警的声音频率较低,最后一次报警声音的频率较高,类似于收音机整点报时,即从xx5950 秒开始,依次为嘀、嘀、嘀、嘀、嘀、嗒。系统时钟选择时钟模块的10KHz,要得到1Hz 时钟信号,必须对系统时钟进行10,000 次分频。调整时间的的按键用按键模块的S1 和S2,S1 调节小时,每按下一次,小时增加一个小时,S2 调整分钟,每按下一次,分钟增加一分钟。报时的喇叭采

11、用实验箱的扬声器模块,整点报时时嘀声用1.25KHz(对10KHz 信号进行8 分频),嗒声用2.5KHz(对10KHz 信号进行4 分频)。另外用S8 按键作为系统时钟复位,复位后全部显示000000。实验步骤完成多功能数字钟的实验步骤如下:1首先打开Quartus II 软件,新建一个工程,并新建一个VHDL File。2按照自己的想法,编写VHDL 程序3对自己编写的VHDL 程序进行编译并仿真。4仿真无误后,根据引脚对照表,对实验中用到的时钟信号、按键开关、七段码管及扬声器输出进行管脚绑定,然后再重新编译一次。5用下载电缆通过JTAG 接口将对应的sof 文件下载到FPGA 中。6按动

12、S1 和S2 按键,观察时钟的小时和分钟会不会递增。7将时间调整到xx 时59 分,观察时钟到了第50 秒时是否会发出嘀、嘀、嘀、嘀、嘀、嗒的报时声。注意:此实验需管脚复用- - Title:多功能数字钟 - -entity exp15 is port( Clk : in std_logic; -时钟输入 Rst : -复位输入 S1,S2 : -时间调节输入 SPK : out std_logic; -扬声器输出 Display : out std_logic_vector(7 downto 0); -七段码管显示输出 SEG_SEL : buffer std_logic_vector(2

13、downto 0) -七段码管扫描驱动end exp15;architecture behave of exp15 is signal Disp_Temp : integer range 0 to 15; signal Disp_Decode : std_logic_vector(7 downto 0); signal SEC1,SEC10 : integer range 0 to 9; signal MIN1,MIN10 : signal HOUR1,HOUR10 : signal Clk_Count1 : std_logic_vector(13 downto 0); -产生1Hz时钟的分频

14、计数器 signal Clk1Hz : std_logic; signal Music_Count : std_logic_vector(2 downto 0); process(Clk) if(Clkevent and Clk=) then if(Clk_Count110000) then Clk_Count1=Clk_Count1+1; else 00000000000001 Clk1Hz=Clk_Count1(13); process(Clk1Hz,Rst) if(Rst=) then -系统复位 SEC1=0; SEC10 MIN1 MIN10 HOUR1 HOUR10 elsif(C

15、lk1Hzevent and Clk1Hz=) then -正常运行 if(S1=) then -调节小时 if(HOUR1=9) then=HOUR10+1; elsif(HOUR10=2 and HOUR1=3) then=HOUR1+1; elsif(S2=) then -调节分钟 if(MIN1=9) then if(MIN10=5) then=MIN10+1;=MIN1+1; elsif(SEC1=9) then if(SEC10=5) then=SEC10+1;=SEC1+1; process(Clk) Music_Count=Music_Count+1; if(MIN10=5 a

16、nd MIN1=9 and SEC10=5) then -在59分50秒开始提示 if(SEC1 MOD 2)=0) then -在偶数秒开始发声 SPKDisp_Temp=HOUR10;001=HOUR1;010=10;011=MIN10;100=MIN1;101110=SEC10;=SEC1;) then -扫描累加 SEG_SEL=SEG_SEL+1; DisplayDisp_Decode00000110 -1 when 2=01011011 -2 when 3=01001111 -3 when 4=01100110 -4 when 5=01101101 -5 when 6=01111101 -6 when 7=00000111 -7 when 8=01111111 -8 when 9=01101111 -9 when 10=01000000 - when others=00000000 -全灭

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1