ImageVerifierCode 换一换
格式:DOCX , 页数:65 ,大小:32.08KB ,
资源ID:16906846      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/16906846.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(VHDL程序集锦Word格式文档下载.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

VHDL程序集锦Word格式文档下载.docx

1、010 elsif I(1) = 001 elsif I(0) = else0 end if; end process;end v1;/iframe8位相等比较器 - 8-bit Identity Comparator- uses 1993 std VHDLlibrary IEEE;use IEEE.Std_logic_1164.all;entity HCT688 is port(Q, P : in std_logic_vector(7 downto 0); GBAR : in std_logic; PEQ : out std_logic);end HCT688;architecture VE

2、R1 of HCT688 is PEQ when (To_X01(P) = To_X01(Q) and (GBAR = ) else end VER1;三人表决器(三种不同的描述方式) - Three-input Majority Voter- The entity declaration is followed by three alternative architectures which achieve the same functionality in different ways. - download from: &ENTITY maj IS PORT(a,b,c : IN BIT

3、; m : OUT BIT);END maj;-Dataflow style architectureARCHITECTURE concurrent OF maj ISBEGIN -selected signal assignment statement (concurrent) WITH a&b&c SELECT m WHEN |, WHEN OTHERS;END concurrent;-Structural style architectureARCHITECTURE structure OF maj IS -declare components used in architecture

4、COMPONENT and2 PORT(in1, in2 : out1 : END COMPONENT; COMPONENT or3 PORT(in1, in2, in3 : -declare local signals SIGNAL w1, w2, w3 : BIT; -component instantiation statements. -ports of component are mapped to signals -within architecture by position. gate1 : and2 PORT MAP (a, b, w1); gate2 : and2 PORT

5、 MAP (b, c, w2); gate3 : and2 PORT MAP (a, c, w3); gate4 : or3 PORT MAP (w1, w2, w3, m);END structure;-Behavioural style architecture using a look-up tableARCHITECTURE using_table OF maj IS PROCESS(a,b,c) CONSTANT lookuptable : BIT_VECTOR(0 TO 7) :00010111 VARIABLE index : NATURAL; BEGIN index := 0;

6、 -index must be cleared each time process executes IF a = THEN index := index + 1; END IF; IF b = = index + 2; IF c = = index + 4;= lookuptable(index); END PROCESS;END using_table;加法器描述 - A Variety of Adder Styles- Single-bit adderuse IEEE.std_logic_1164.all;entity adder is port (a : b : cin : sum :

7、 out std_logic; cout :end adder;- description of adder using concurrent signal assignmentsarchitecture rtl of adder is sum = (a xor b) xor cin; cout a, in2 = b, out1 = xor1_out); xor2: xor1_out, cin, sum); and1: andg port map( and1_out); or1: org port map( or1_out); and2: or1_out, and2_out); or2: co

8、ut);end structural;- N-bit adder- The width of the adder is determined by generic Nentity adderN is generic(N : integer := 16); in std_logic_vector(N downto 1); out std_logic_vector(N downto 1);end adderN;- structural implementation of the N-bit adderarchitecture structural of adderN is component ad

9、der end component; signal carry : std_logic_vector(0 to N); carry(0) a(I), b = b(I), cin = carry(I - 1), sum = sum(I), cout = carry(I); end generate;- behavioral implementation of the N-bit adderarchitecture behavioral of adderN is p1: process(a, b, cin) variable vsum : std_logic_vector(N downto 1);

10、 variable carry : carry : for i in 1 to N loop vsum(i) := (a(i) xor b(i) xor carry;= (a(i) and b(i) or (carry and (a(i) or b(i); end loop;= vsum;= carry; end process p1;end behavioral;8位总线收发器:74245 (注2) - Octal Bus Transceiver- This example shows the use of the high impedance literal Z provided by s

11、td_logic.- The aggregate (others = ) means all of the bits of B must be forced to . - Ports A and B must be resolved for this model to work correctly (hence std_logic rather than std_ulogic). entity HCT245 is port(A, B : inout std_logic_vector(7 downto 0); DIR, GBAR : in std_logic);end HCT245;archit

12、ecture VER1 of HCT245 is= B when (GBAR = ) and (DIR = ) else (others =); B = A when (GBAR = 地址译码(for m68008) - M68008 Address Decoder- Address decoder for the m68008- asbar must be to enable any output- csbar(0) : X00000 to X01FFF- csbar(1) :4000043FFF- csbar(2) :080000AFFF- csbar(3) :E0000E01FFlibr

13、ary ieee;use ieee.std_logic_1164.all;entity addrdec is port( asbar : address : in std_logic_vector(19 downto 0); csbar : out std_logic_vector(3 downto 0) );end entity addrdec;architecture v1 of addrdec is csbar(0) = X) and (address ) else csbar(1) csbar(2) csbar(3) end architecture v1;多路选择器(使用select

14、语句) - Multiplexer 16-to-4 using if-then-elsif-else Statemententity mux is port( a, b, c, d: in std_logic_vector(3 downto 0); s: in std_logic_vector(1 downto 0); x: out std_logic_vector(3 downto 0);end mux;architecture archmux of mux ismux4_1: process (a, b, c, d) if s = 00 x = a; elsif s = 01= b;10=

15、 c;= d; end process mux4_1;end archmux;LED七段译码 - DESCRIPTION : BIN to seven segments converter- segment encoding- a- +-+ - f | | b- +-+ - g- e | | c- +-+- d- Enable (EN) active : high- Outputs (data_out) active : low- Download from :entity bin27seg is port ( data_in : in std_logic_vector (3 downto 0); EN : data_out : out std_logic_vector (6 downto 0)end entity;architecture bin27seg_arch of bin27seg is process(data_in, EN) data_out if EN= case data_in is when 0000 = data_out NULL; end case; end if;end architecture;多路选择器(使用ifelse语句) architecture archmux of

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1