ImageVerifierCode 换一换
格式:DOCX , 页数:18 ,大小:359.79KB ,
资源ID:16725958      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/16725958.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于AT89S52单片机控制红外线防盗报警器Word文件下载.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于AT89S52单片机控制红外线防盗报警器Word文件下载.docx

1、 DJNZ R2,LD1 DJNZ R1,LD2 RET ALARM:SETB P1.2 ;开始报警使运行正常绿指示灯熄灭,红灯和声报警启动CPL P3.0CPL P3.1;10S钟定时: MOV 51H,#14H ;10S循环次数 MOV TMOD,#01H ;定时器T0定时 方式1 MOV TL0,#0B0H ;置50ms定时初值 MOV TH0,#3CH SETB TR0 ;启动T0 L2:JBC TF0,L1 ;查询记数溢出 SJMP L2 L1:MOV TL0 #0B0H MOV TH0 #3CH DJNZ 51H,L2 ;未到10S继续循环 SETB P3.0 ;10s到关闭报警

2、CLR P3.1 CLR P1.2 ;报警结束,正常运行绿指示灯亮 LJMP LP ;循环,继续工作2.外部中断INTO服务程序: PINT0:CLR EX0 ;外部中断0服务程序开始,屏蔽外部中断 PUSH PSW PUSH ACC JNB P3.2,LN ;监测是否有中断输入 LN: LCALL DELAY ; JNB P3.2,LN1 AJMP LN2 ;无中断输入,中断返回 LN1:使报警结束,绿指示灯亮 POP ACC POP PSW SETB EX0 ;开放外部中断0 LCALL LP ;在中断继续检测是否有输入信号 LN2:RETI END附录二 单片机控制的红外防盗报警器原理图

3、附录三 单片机控制的红外防盗报警器PCB图附录四 Proteus仿真原理图毕业设计论文系电子信息工程系专业 电子信息工程技术 班级信息 学号_指导教师职称设计时间摘要本系统是基于AT89S52单片机控制的红外线防盗报警器,主要由红外线发射部分、红外线接收部分、单片机以及声光报警部分组成。可通过发射电路发射的红外线被遮挡时控制报警系统报警, 通过单片机控制报警电路的运行,并能同时进行声光报警,用红外线收发管进行检测,安装隐蔽,不易被发现;探测信号采用脉冲信号,节能且抗干扰。系统可以探测到一定围的人的闯入, 可以应用在安防围比较确定的情况下。采用这种方法设计的防盗报警器具有成本廉价和探测效果好的优

4、点,有着广阔的市场前景。关 键 词:单片机;红外线防盗报警器;声光报警1 绪论41.1 课题研究的意义 41.2 课题研究的目的 52 总体设计方案 63 硬件电路各部分电路设计 73.1 单片机控制系统电路 73.1.1 主控芯片的性能以及标准功能 73.1.2 主控芯片的主要结构及引脚功能 83.1.3 单片机控制部分电路 103.2 红外线发射电路 113.3 红外线接收电路 113.4 声光报警电路 123.5 电源电路124 红外线防盗报警器的软件设计 144.1 系统的主流程 145 红外线防盗报警系统的性能分析 166 结 论 6参考文献181 绪论在一些电影、电视剧中我们常可以

5、看到,有些博物馆等安全性要求比较高的场所,在安防电脑系统的屏幕上面,显示着一根根红线,如果有人进入不小心“触”到了这根红线,那么报警器就会发响。这就是红外线报警器。1.1 课题研究的意义红外线报警器分主动式和被动式两种1。主动式红外线报警器,是报警器主动发出红外线,红外线碰到障碍物,就会反弹回来,被报警器的探头接收。如果探头监测到,红外线是静止不动的,也就是不断发出红线线又不断反弹的,那么报警器就不会报警。当有会动的物体触犯了这根看不见的红线的时候,探头就会检测到有异常,就会报警。被动式报警器少了一项功能,就是发射红外线。物理学上告诉我们,当物体的温度高于0K的时候,就会发出红外线,换句话说任

6、何物体都能发出红外线2。而其后的原理,被动式报警器和主动式是一样的。红外线报警器对温度敏感,温度越高的物体辐射出的红外线越强,当感应到环境中存在高出背景强度的辐射时,就触发报警。主动式红外探测器是由收、发装置两部分组成3。发射装置向装在几米甚至于几百米远的接收装置辐射一束红外线,当被遮断时,接收装置即发出报警信号,因此,它也是阻挡式报警器,或称对射式探测器。通常,发射装置由多谐振荡器、波形变换电路、红外发光管及光学透镜等组成。振荡器产生脉冲信号,经波形变换及放大后控制红外发光管产生红外脉冲光线,通过聚焦透镜将红外光变为较细的红外光束,射向接收端。接收装置由光学透镜、红外光电管、放大整形电路、功

7、率驱动器及执行机构等组成4。光电管将接收到的红外光信号转变为电信号,经整形放大后推动执行机构启动报警设备。主动式红外报警器有较远的传输距离,因红外线属于非可见光源,入侵者难以发觉与躲避,防御界线非常明确。主动式红外报警器是点型、线型探测装置,除了用作单机的点警戒和线警戒外,为了在更大围有效地防,也可以利用多机采取光墙或光网安装方式组成警戒封锁区或警戒封锁网,乃至组成立体警戒区。单光路由一个发射器和一个接收器组成。双光路由两对发射器和接收器组成5。两对收、发装置分别相对,是为了消除交叉误射;多光路构成警戒面;反射单光路构成警戒区。1.2 课题研究的目的近年来,随着改革开放的深入发展,电子电器的飞

8、速发展,人民的生活水平有了很大提高。各种高档家电产品和贵重物品为许多家庭所拥有。然而一些不法分子也是越来越多。这点就是看到了大部分人防盗意识还不够强.造成偷盗现象屡见不鲜。因此,越来越多的居民家庭对财产安全问题十分担忧。现在很多小区都安装了智能报警系统,因而大大提高了小区的安全程度,有效保证了居民的人身财产安全。由于红外线是不见光,有很强的隐蔽性和性,因此在防盗、警戒等安保装置中得到了广泛的应用。红外报警器大多数采用国外的先进技术,其功能也非常先进。其中包括被动式热释电型红外报警器。还有红外监控无线报警器,超声波防盗报警器,红外线防盗报警器,高灵敏红外报警器,触摸式延时防盗报警器, 触摸式防盗

9、报警器,红外报警器, 红外线声先报警器6防盗报警系统是在探测到防现场有入侵者时能及时发出报警信号的专用电子系统,一般由探测器(报警器)、传输系统和报警控制器组成。探测器检测到意外情况就产生报警信号,通过传输系统送入报警控制器发出声、光或其他报警信号7。探测器(报警器)的种类很多,按所探测的物理量的不同,可分为微波、红外、激光、超声波和振动等方式;按电信号传输方式不同,又可分为无线传输和有线传输两种方式8。由于红外线是不见光,有很强的隐蔽性和性,因此在防盗、警戒等安保装置中得到了广泛的应用,这时红外线报警器的简易、灵敏度高为人们解决了不少问题。但是市场上的报警器大部分都是用于一些大公司财政机构,

10、价格高昂,一般人们难以接受,如果再设计和生产一种价廉、性能灵敏可靠的防盗报警器,必将为大多数需求者所利用,在人们的防盗和保证财产安全方面发挥更加有效的作用。本课题尝试用价格低廉、应用普遍的AT89S52单片机控制的电路来设计一个主动式对射式的红外线防盗报警器,期望达到方便、实用的效果。2总体设计方案该系统以单片机AT89S52系列为核心,采用红外线发射管和红外线接收管为发射和接收装置,由反相器芯片反相间接控制CPU工作。在CPU程序运行以后控制输出口电平使得蜂鸣器器与发光二极管组成的声光报警电路同时进行声光报警。系统原理框图如图2-1所示。 图2-1 系统方框图采用AT89S52单片机,直流可

11、调开关MC34063,反相器74LS14D等芯片9。其中,AT89S52的P1.2P1.7为输出口,而P3.03.5为输入口。P1口连接红外线发射电路,P1口为低电平时,红外线发射电路导通,正常发射红外线10。P3口输入经接收红外线电路接收并由反相器反相的电平,当电平到达单片机CPU后,若各口均为低电平,则CPU不做任何反应,此时不报警;而当红外线被认为挡住而使接收电路无法接受到时P3输入口就会输入高电平,此时当在一定的时间检测到位于不同位置的光束被遮挡时,由P3.7口输出报警信号,驱动声光报警电路进行报警。3 硬件电路各部分电路设计AT89S52单片机式一种低功耗,高性能的CMOS8位微控制

12、器,具有8K在系统可编程Flash存储器。使用Atmel公司高密度非易失性存储器技术制造,与工业80S51产品指令和引脚完全兼容。片上的Flash允许程序存储器在系统可编程,亦适于常规编程器11。在单芯片上拥有灵巧的8位CPU和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、有效的解决方案。3.1 单片机控制系统电路单片机P1口与红外线发射电路相连,P3口与红外线接收电路相连,P3.7口连接声光报警电路输出方波脉冲信号驱动声光报警。X1、X2脚与晶振相连,用于定时计数,以形成一秒周期的方波脉冲信号12。3.1.1 主控芯片的性能以及标准功能主要性能: 与 MCS-

13、51 单片机产品兼容 字节在系统可编程 Flash 存储器 次擦写周期 全静态操作:0Hz33Hz 三级加密程序存储器 个可编程 I/O 口线 三个 16 位定时器/计数器 八个中断源 全双工 UART 串行通道 低功耗空闲和掉电模标准功能AT89S52具有以下标准功能:8K字节Flash,256字节RAM,32位I/O口线,看门狗定时器,2个数据指针,三个16位定时器/计数器,一个6向量2级中断结构,全双工串行口,片晶振及时钟电路。另外,AT89S52可降至0HZ静态逻辑操作,支持两种软件可选择节电模式。空闲模式下,CPU停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式

14、下,RAM容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止15。3.1.2 主控芯片的主要结构及引脚功能主体单片机芯片AT89S52的引脚结构如图3-1所示:图3-1 系统方框图各主要管脚介绍如下: VCC : 电源 GND: 地 P0 口:P0 口是一个 8 位漏极开路的双向 I/O 口。作为输出口,每位能驱动 8 个 TTL 逻辑电平。对 P0 端口写“1”时,引脚用作高阻抗输入。当访问外部程序和数据存储器时,P0 口也被作为低 8 位地址/数据复用。在这种模式下,P0 具有部上拉电阻。在 flash 编程时,P0 口也用来接收指令字节;在程序校验时,输出指令字节。

15、程序校验时,需要外部上拉电阻。P1口:P1 口是一个具有部上拉电阻的 8 位双向 I/O 口,P1 输出缓冲器能驱动 4 个TTL 逻辑电平。对 P1 端口写“1”时,部上拉电阻把端口拉高,此时可以作为输入 口使用。作为输入使用时,被外部拉低的引脚由于部电阻的原因,将输出电流(IIL)。 此外,P1.0 和 P1.2 分别作定时器/计数器 2 的外部计数输入(P1.0/T2)和时器/计数器 2 的触发输入(P1.1/T2EX)。P2 口:P2 口是一个具有部上拉电阻的 8 位双向 I/O 口,P2 输出缓冲器能驱动 4 个TTL 逻辑电平。对 P2 端口写“1”时,部上拉电阻把端口拉高,此时可

16、以作为输入 口使用。 在访问外部程序存储器或用 16 位地址读取外部数据存储器(例如执行 MOVX DPTR) 时,P2 口送出高八位地址。在这种应用中,P2 口使用很强的部上拉发送 1。在使用8 位地址(如 MOVX RI)访问外部数据存储器时,P2 口输出 P2 锁存器的容。在 flash 编程和校验时,P2 口也接收高 8 位地址字节和一些控制信号。P3 口:P3 口是一个具有部上拉电阻的 8 位双向 I/O 口,P2 输出缓冲器能驱动 4 个 TTL 逻辑电平。对 P3 端口写“1”时,部上拉电阻把端口拉高,此时可以作为输入 口使用。P3 口亦作为 AT89S52 特殊功能(第二功能)

17、使用,在 flash 编程和校验时,P3 口也接收一些控制信号13。如下表3-1所示。表3-1 P3口的引脚号及其第二功能引脚号第二功能P3.0RXD(串行输入)P3.1TXD(串行输出)P3.2INT0(外部中断 0)P3.3P3.4T0(定时器0外部输入)P3.5T1(定时器1外部输入)P3.6WR(外部数据存储器写选通)P3.7RD(外部数据存储器写选通) RST: 复位输入。晶振工作时,RST 脚持续 2 个机器周期高电平将使单片机复位。看门狗计时完成后,RST 脚输出 96 个晶振周期的高电平。特殊寄存器 AUXR(地址 8EH)上。ALE/PROG:地址锁存控制信号(ALE)是访问

18、外部程序存储器时,锁存低 8 位地址的输出脉冲。在 flash 编程时,此引脚(PROG)也用作编程输入脉冲。 在一般情况下,ALE 以晶振六分之一的固定频率输出脉冲,可用来作为外部定时器或 时钟使用。然而,特别强调,在每次访问外部数据存储器时,ALE 脉冲将会跳过。 如果需要,通过将地址为 8EH 的 SFR 的第 0 位置 “1”,ALE 操作将无效。这一位置 “1”, ALE 仅在执行 MOVX 或MOVC指令时有效。否则,ALE 将被微弱拉高。这个 ALE 使 能标志位(地址为 8EH 的 SFR 的第 0 位)的设置对微控制器处于外部执行模式下无效。PSEN:外部程序存储器选通信号(

19、PSEN)是外部程序存储器选通信号。当 AT89S52 从外部程序存储器执行外部代码时,PSEN 在每个机器周期被激活两次,而在访问外部数据存储器时,PSEN 将不被激活。EA/VPP:访问外部程序存储器控制信号。为使能从 0000H 到 FFFFH 的外部程序存储器读取指令,EA 必须接 GND。为了执行部程序指令,EA 应该接 VCC。在 flash 编程期间,EA 也接收 12 伏 电压。XTAL1:振荡器反相放大器和部时钟发生电路的输入端14。XTAL2:振荡器反相放大器的输出端。3.1.3 单片机控制部分电路如图所示为单片机组成的控制电路,其中晶振与其相连构成时钟电路,而复位开关与其

20、相连构成单片机小系统。如图3-2所示。图3-2 单片机控制电路电路图3.2 红外线发射电路红外线发射电路主要由D7D12六个红外线发射管组成。红外发射管的负极依次接到单片机P1.2P1.7口,当电源接通时,单片机的P1口设为输出状态,当P1口输出均为“0”时,各个二极管均成导通状态,发出红外光,覆盖包括了接收电路的一定的区域。正常情况下没有遮挡时P1口输出为00H。红外线发射二极管在使用时,须由电流驱动, 在进行设计时,最重要的是在IF电流的控制,设计出的驱动电流IF不能太大,若大于 IF(max)则元件有烧毁之虑,IF若太小,则其发射束就会变小。通过查阅资料可知IF(max)在20mA左右,

21、通过计算可得限流电阻的最小值为200欧,本电路选取470欧如图3-3所示。图3-3 红外线发射电路图3.3 红外线接收电路红外线接收电路主要由D1D6六个红外线接收二极管组成,主要功能是用来接收D7D12发射的红外线,正常情况下能够成功接收,如果有人闯入,中断红外线,将接受不到红外线,此时判断为应当报警,由单片机控制报警。具体电路连接如下图,D1D6六个红外线接收管的负极与反相器芯片74LS14D的A1A6脚,当没有遮挡时接收管正常接收到红外线时并导通,+5V电源通过D1D6的正极加到反相器74LS14D的输入端,进行反相为低电平,输出管脚Y1Y6接单片机的P3.0到P3.5脚,这时的P3.0

22、P3.5口为低电平:而当有人闯入使红外线被遮挡时,接收管截止,反相器输入端为低电平,反相后输出高电平,这时的单片机的P3.0P3.5口为高电平。当在一定得时间检测到位于不同位置的光束被遮挡时,则由P3.7口输出高低电平间隔为1S的脉冲报警信号16。此脉冲信号驱动声光报警电路,直至断开开关SW2。如图3-4所示。图3-4 红外线接收电路图3.4 声光报警电路声光报警电路与单片机P3.7口相连,当单片机的P3.7口输出一系列脉冲方波,开始驱动报警电路报警。声光报警部分主要由发光二极管和蜂鸣器组成,当报警开始时,发光二极管闪烁,蜂鸣器发出声响,声光并用,同时报警17。声光报警部分电路图如图3-5所示

23、。图3-5 声光报警部分电路图3.5 电源电路电源开关SW1送入220V经过保险丝后送入变压器,保险丝起保护电路的作用18。变压器L1将220V的交流电源经磁场耦合转换成12V的交流电源,四个全波整流二极管将12V交流源整流为直流12V电源提供给后面电路。由电容组成的滤波电路将整流后的电源进行纹波滤除,滤除频率较高的干扰纹波。再经直流开关电源,转换出5V电源为后端供电,具体工作过程:经整流、滤波的12V电源,经直流开关电源U1第6脚供给电源,1、7、8脚为电源芯片的比较输入端RA为大功率限流电阻,电源经芯片的2脚输出,TL1为功率电感,电源经TL1的2脚输出。D2为快速开关二极管。C1/BC3

24、组成电容滤波电路。如图3-6所示。4 红外线防盗报警器的软件设计系统的软件设计,主要集中在单片机功能流程的设计上,要监视是否有人闯入,红外线接收是否中断、如何控制声光报警等。软件设计的好坏也直接决定了系统的运行质量,在编写软件之前,对系统的流程进行设计是十分必要的,这样可以保证在编写软件时思路清晰,不易出错,修改也变得容易。程序流程图的设计遵循自顶向下的原则,即从主体逐步细分到每一个模块的流程20。4.1 系统的主流程(1)下图4-1为系统主程序流程图。主程序、脉冲信号产生程序、中断服务程序存放在AT89S5单片机中,整个程序设计思想是当检测到有人闯入时,就由P3.7口输出高低电平间隔为1秒的

25、脉冲信号去驱动声光报警电路。这可以通过使P3.7口每隔1秒取反一次实现。而1秒时间可以让定时器重复定时100ms十次实现。用寄存器R1做循环计数器初值为10。采用中断方式编程,整个程序由主程序和中断服务程序两部分组成21。(2)主程序的功能:起监视作用,主要用来判断是否有人闯入,红外线的接收是否中断.主程序的流程图如4-1所示.程序开始后,系统初始化结束后判断是否有人闯入,若有则报警,若无则回到上一级继续判断是否有人闯入。图4-1主程序流程图(3)以下是脉冲信号产生程序流程。主要功能:通过定时100ms等待,并连续计时10次最终得到一个周期的以1s为周期的方波信号,重复此过程,最终得到一列1s

26、周期的方波脉冲信号,最终此列脉冲信号由单片机P3.7口输出与报警电路连接驱动发光二极管以1s频率闪动和蜂鸣器鸣叫报警。其主要流程如图4-2所示。图4-2方波脉冲信号产生流程图(4)以下是中断服务程序判断定时1秒是否完成,从而决定是否对P3.7口取反。中断子程序流程图如图4-3所示。5 红外线防盗报警系统的性能分析此红外线报警系统属于主动式红外线对射防盗报警系统,主要由系统自发发射接收红外线,由发射电路部分通过红外线发射管发射红外线,然后通过红外线接收电路中的红外接收管接收红外线,正常的情况下,发射与接收活动正常进行,一旦有人闯入,使得接收中断,此时就通过线路连接反映给单片机系统电路,通过软件设

27、计部分来使单片机及时掌握是否有人闯入使红外线接收受阻的信息,从而控制报警器电路的发光管与蜂鸣器部分同时进行声光报警。以上是该红外线防盗报警系统的主要实现过程。该系统所使用的都是一些新型实用的芯片,性能优良价格便宜,软件设计方面也十分简单,适用于开发实用型家庭防盗报警器,且系统适用于一般家庭的220V电压,原理简易,安装和使用都非常方便。6 结 论本人设计的课题是红外线防盗报警器,经过几个月的思考和准备,通过对课题的设计,大大提高了自己各方面的能力,例如对书本基础知识的掌握程度,对资料的查找方法,对自己知识面的扩展都不得有相对的提高,但在这其中,也有自己茫然和不知所措的一面,当初决定做此课题时,

28、不知该从何下手,头脑中也一片空白,后来经过老师对课题的剖解,头脑中才逐渐有了轮廓。 资料搜集花费了大量时间,在此过程中我了解到采集的资料不能从头至尾的抄写,而要取己所需,认为有价值的材料才能引用,后来在网上,请教经验丰富的老师,终于获得了第一手资料,然后在其中加入自己的思想,通过对材料和自己构思的组织整理,渐渐有了头绪。万事开头难,只要把前段的准备工作做好了,后面的工作自然就可以顺利很多了。梅花香自苦寒来,经过几个月不断的修改及创新终于看到了自己的劳动成果,终于完成了红外线防盗报警器的设计,一种胜利感油然而生。经过这一次设计,体会颇多,感觉到平时的粗心大意,以及不完善的理论知识让我错过了一次就

29、成功的那种感觉,而是做了很多次的修改,但在制作电路的过程中感觉到了一种力量,那种力量可以让我废寝忘食的不断改善调试电路,可以让自己兴奋的久久的为了电路而深思,可以让自己在深夜写着毕业设计说明书,回顾自己的毕业设计制作过程时,心中油然而生一阵感慨,有失落,有兴奋,有喜悦,有苦恼,但我觉得它值得我这样去做,因为它不仅让我有了一次对于电子技术的实践机会,更让我学会怎样去面对制作过程中遇到的困难,怎么去解决困难,让我学会了独立思考,让我隐隐约约记忆起以前学过的知识,原来不知道有何实用性的枯燥电路原理的知识,现在我在实际应用却觉得少了解了好多东西,心中无限后悔。但这次毕业设计给我的是很真、很纯的感受,亲身体会其制作的艰难路程,这不仅加深了我以前因为种种迷惑不知道的电路知识的认识,而且为我将来的人生也奠定了一定的基础,相信通过以后的学习锻炼,理论结合实践,为社会作贡献.ORG 0000HAJMP MAIN ;转向主程序ORG 000BH :定时器TO中断入口LJMP BJ ;转向中断服务程序ORG 0030HMAIN:MOV SP,#50H ;设置堆栈栈底MOV R1,#0AH;设置循环计数器初值MOV P3,#00H;设置P3口为正常状态MOV P1,#0ffH

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1