ImageVerifierCode 换一换
格式:DOCX , 页数:30 ,大小:70.95KB ,
资源ID:16418436      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/16418436.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(音乐数码管计时点阵流水灯设计Word下载.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

音乐数码管计时点阵流水灯设计Word下载.docx

1、 clk:in std_logic; speaker:out std_logic);end yinyue;architecture bhv of yinyue issignal up:std_logic_vector(0 to 18);signal yin:integer range 0 to 17:=1;signal j:integer range 0 to 139:signal shijian,jiange:integer range 0 to 20480;beginprocess(clk)if (clkevent and clk=1)thenif up=11111111111111111

2、11then up=0000000000000000000; j=j+1;else up=up+1;if j=70 then jyin when 2= when 3=- when 4=5; when 5= when 6= when 7=6; when 8=8; when 9= when 10= when 11=9; when 12= when 13= when 14= when 15= when 16=12; when 17= when 18= when 19=15; when 20=13; when 21= when 22=10; when 23= when 24= when 25= whe

3、n 26= when 27= when 28= when 29= when 30=0; when 31= when 32= when 33= when 34= when 35= when 36=7; when 37= when 38= when 39= when 40= when 41= when 42= when 43= when 44= when 45= when 46= when 47= when 48= when 49= when 50= when 51= when 52= when 53= when 54= when 55= when 56= when 57= when 58= wh

4、en 59= when 60= when 61= when 62= when 63=- when 64= when 65= when 66= when 67= when 68= when others=end case;case yin iswhen 1 =jiange=6965;-dwhen 3 =6206;-ewhen 4 =5868;-fwhen 5 =5224;-gwhen 6 =4654;-awhen 7 =4145;-bwhen 8 =3915;when 9 =3543;when 10=3107;when 11 =2934;when 12 =2612;when 13 =2327;w

5、hen 14 =2072;when 15 =1957;when others=speaker=0if shijian=jiange then shijian=shijian+1;=jiange/2 then speakerelse speakerelse shijianend process;end bhv;2 数码管模块简单计时装置,修改自数字钟,产生播放器的感觉,程序如下:ENTITY jishi ISport(sel:buffer std_logic_vector(7 downto 0); seg:out std_logic_vector(6 downto 0);end jishi;ar

6、chitecture bhv of jishi issignal ff :std_logic_vector(20 downto 0);signal clk0:std_logic;signal aa,bb :std_logic_vector(5 downto 0);signal k :std_logic_vector(2 downto 0);signal temp1,temp2:signal result1,result2,co1,co2:std_logic_vector(3 downto 0);signal dd :signal sum:clk0=ff(20);p1:if(clkff=ff+1

7、;process(clk0)if(clk0event and clk0=if aa=111011 then aa000000 bb=bb+1; else=bb;=aa+1;if bb=if aa10 thentemp1=aa;result1=temp1(3 downto 0);co19 and aa19 and aa30)then=aa+12;001029 and aa40)then=aa+18;001139 and aa50)then=aa+24;010049 and aa60)then=aa+30;0101if bbtemp2result2=temp2(3 downto 0);co29 a

8、nd bb19 and bb=bb+12;29 and bb=bb+18;39 and bb=bb+24;49 and bb=bb+30;if( clkdd=dd+1;with dd select sel10000000 when 000, 01000000001001000000100001000001100001000100000001001010000001011000000001111 sum= co2 when, result2 when1010 when co1 when result1 whenwhen others;with sum select seg100000011110

9、0101001000110000001100100100100000010011010110000111000000010000010000100101111111111111 when others;3点阵模块 点阵模块,主要就是点阵循环跳动显示心形(有大有小,动画的思想),还有蝴蝶形,还有“爱成蝶”三个字。(由于程序较长,且后面有附录,所以不在此显示。)4流水灯模块 流水灯模块,主要显示的就是12盏灯循环有规则亮灭,配合音乐,并不断变化,形成视觉上的效果。四 实验结果及分析 实验成品的效果是音乐在播放,同时数码管开始计时,点阵开始显示文字和图案,流水灯也开始按照各种形式来循环闪烁。除了音乐

10、是上网查的一些音谱和学习了一下大体模式,其它的都是本次实习过程中做成功的程序的修改。在实验的过程中一共碰到了以下几个问题1、音乐模块中播放音乐开始使用蜂鸣器,但是总是发出类似蝉鸣的叫声,无论怎么改都不好用;后来使用扬声器,开始时有杂音,十分不清晰,后来经过分析,造成这个问题的原因可能有两个:第一,分频不对,造成音调有高有低,播放的不成曲调。第二,扬声器有失真效应,造成播放的有杂音。发现原因后,又重新对分频进行了处理,然后换了一个新的扬声器,而后程序编译通过,终于可以正常播放音乐了。2、在加入各种模块的过程中,出现了逻辑单元不够用的现象,怎么改都不好用,后来经过多方修改,发现使用的if语句使用太

11、多的缘故,更改了一些语句,终于逻辑单元的数目降下来了,编译通过了。3、点阵模块设计时,出现了只跳动前几个字的现象,而且每两个一停顿。最后还有一个全亮的,经过修改程序,发现其中的一个计数器的位数不够,还有分频分的不对,是一个比0.5秒多一点的频率,修改成1秒,上述情况就解决了。五 实习总结 先总结一下自主设计,本次设计是我第一次用一门自己陌生的语言做的设计,做的不是很完善,只能说是一些基本模块的整合。关于音乐系统,因为时间和知识缺乏的关系,设计得很是简陋,远远达不到当今社会的需求,应该多加几首音乐,并且用加入音乐选择的功能,这样,现实性更强了,同时点阵的设计本来想加一个跳动与滚动的转化的,但是时

12、间仓促,总是有错误,所以最后只能放弃,以后好好研究一下。 再总结一下整个小学期的实习,在短短的四个星期的日子里,学到很多很多的的东西,不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。以焊电路板开始,以自主设计结束,每一次都感觉自己做的很难,一旦成功后,就会继续更难更复杂的东西。课程设计也由浅入深,在之前的基础之上灵活使用,不仅需要缜密的逻辑思维以及清醒的头脑,更需要很强的动手能力,使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。我会把这段经历当做一笔宝贵

13、的财富,激励我不断前进。六 附录(程序或电路图)ENTITY YINYYUE ISport(clk:out std_logic; i1:out std_logic_vector(15 downto 0); i2: sel:out std_logic_vector(6 downto 0); dout: out std_logic_vector(11 downto 0); end yinyyue;architecture bhv of yinyyue issignal a,e:signal b: std_logic_vector(20 downto 0);signal c: std_logic_v

14、ector(3 downto 0);signal d: std_logic_vector(2 downto 0);signal i2a,i2b,i2c,i2d,i2e,i2f,i2g:std_logic_vector(15 downto 0);signal cl:signal mm:integer range 0 to 15;signal clm:signal nnn:integer range 0 to 31;signal y1: std_logic_vector(31 downto 0);signal x,y:signal cnt : std_logic_vector ( 7 downto

15、 0);-variable count:integer RANGE 0 TO 7;signal count : std_logic_vector(5 DOWNTO 0);signal f:std_logic_vector(22 downto 0);signal clk1: if(clk) then if(b2048000) then b=b+1;000000000000000000000 end process;e when b begin if clk c=c+1;process(e)if eevent and e=if d7 then d=d+1; else dwith c selecti11000000000000000when01000000000000000010000000000000000100000000000000001000000000000000010000000000000000100000000000000001000000000000000010000000000000000100000000000000001000000000000000010000101100000000000010001100000000000000010011010000000000000010111000000000000000011111case c is

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1