ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:128.54KB ,
资源ID:16340129      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/16340129.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA基础实验报告Word下载.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA基础实验报告Word下载.docx

1、 三八译码器三输入,八输出。当输入信号按二进制方式的表示为N时,输出端从零标记到八。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位有效的情况下,能表示所有的输入组合。3-8译码器真值表输入输出A2 A1 A0Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y00 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 0 0 0 0 0 0 1 0 0 0 0 0 0 1 00 0 0 0 0 1 0 00 0 0 0 1 0 0 00 0 0 1 0 0 0 00 0 1 0 0 0 0 00 1 0 0 0 0 0 01 0 0 0 0 0 0 0四

2、位拨码开关提供8421BCD码,经译码电路后成为7段数码管的字形显示驱动信号。(AG)扫描电路通过可调时钟输出片选地址SEL2.0。由SEL2.0和A.G决定了8位中的哪一位显示和显示什么字形.SEL2.0变化的快慢决定了扫描频率的快慢。1、 参考电路(时钟频率40HZ,如图241)图1512、 参考电路(时钟频率2HZ,如图242) 图152六、实验连线:输入信号:D3,D2,D1,D0所对应的管脚同四位拨码开关相连;清零信号RESET 所对应的管脚同按键开关相连;时钟CLK 所对应的管脚同实验箱上的时钟源相连;输出信号:代表扫描片选地址信号SEL2,SEL1,SEL0的管脚同四位扫描驱动地

3、址的低3位相连,最高位地址接“0”(也可悬空);代表7段字码驱动信号A,B,C,D,E,F,G的管脚分别同扫描数码管的段输入a,b,c,d,e,f,g相连。七、实验步骤:1. 进入WINDOWS操作系统,打开MAXPLUSII。2. 设计输入。3. 保存原理图。4. 用相关软件对程序进行管脚的定义、编译、仿真、下载,完成整个实验的设计。八、实验程序图七、实验结果:拨动三个拨码输入开关,对应的LED指示灯点亮,实现译码功能,即实现了三个开关的任意组合。二 应用实验 梁祝音乐演奏实验一、实验目的:1. 了解普通扬声器的工作原理。2. 使用FPGA产生不同的音乐频率。3. 进一步体验FPGA的灵活性

4、。二、实验硬件要求:1. 375KHz信号源。2. FPGA EP1K10TC1003主芯片。3. 扬声器。三、实验原理:本实验是完成一小段音乐程序的开发,然后再用扬声器进行试听。下面主要介绍一下完成本实验的几个主要部分的工作原理。1、音符的产生:音符的产生是利用计数器对输入的时钟信号进行分频,然后输出不同的频率来控制扬声器发不同的声音。计数器必须是模可变的计数器,也就是其初始计数值可变,这样便可以对其进行初始化,使其从不同的初始值开始计数,实现对输入时钟信号的不同分频。2、节拍的产生:节拍也是利用计数器来实现,如果某一个音符需要维持的时间比较长,那么就可以在此计数器从计数值A到计数值B之间都

5、维持该音符,很显然,A和B之间的间隔越大,那么该音符维持的时间也就越长。3、乐谱的存储:乐谱是一个固定的组合电路,根据不同的输入值,然后输出一个固定的值,该值就是音符产生计数器的分频的初始值。适当的选择这些计数器和组合电路,便可完成不同的乐曲和不同节奏。四、实验内容及步骤:本实验要完成的任务是设计一个驱动扬声器产生梁祝音乐的程序,设计步骤如下:1、 编写音乐输出的VHDL代码。2、 用MaxPlusII对其进行编译仿真。3、 在仿真确定无误后,选择芯片ACEX1K10TC1003。4、 给芯片进行管脚绑定,在此进行编译。5、 根据自己帮点的管脚,在实验箱上对扬声器接口和FPGA之间进行正确连线

6、。6、 给目标板下载代码,观看实验结果。五、实验连线:Clk:时钟输入信号,接375KHz的时钟源。Spk:输出,接扬声器部分的输入端。六、实验VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;-entity music is port( clk:in std_logic; spk:buffer std_logic);end music;-architecture behave of music is signal tone :

7、 std_logic_vector(10 downto 0); signal tone_count : signal tone_index : integer range 0 to 15; signal clk10_count : std_logic_vector(17 downto 0); signal time : integer range 0 to 150; signal clk10 : std_logic; begin process(clk) -generate 10hz clock signal if(clkevent and clk=1) then clk10_count=cl

8、k10_count+1; if(clk10_count=16#3fff#) then clk10=not clk10; end if; end process; process(clk10) if(clk10event and clk10= if(time=150) then timetone_index when 2= when 3=- when 4=5; when 5= when 6= when 7=6; when 8=8; when 9= when 10= when 11=9; when 12= when 13= when 14= when 15= when 16=12; when 17

9、= when 18= when 19=15; when 20=13; when 21= when 22=10; when 23= when 24= when 25= when 26= when 27= when 28= when 29= when 30= when 31= when 32= when 33= when 34= when 35= when 36=7; when 37= when 38= when 39= when 40= when 41= when 42= when 43= when 44= when 45= when 46= when 47= when 48= when 49=

10、 when 50= when 51= when 52= when 53= when 54= when 55= when 56= when 57= when 58= when 59= when 60= when 61= when 62= when 63=- when 64= when 65= when 66= when 67= when 68= when 69= when 70= when 71= when 72= when 73= when 74= when 75= when 76= when 77= when 78= when 79= when 80= when 81= when 82= w

11、hen 83= when 84= when 85= when 86= when 87= when 88= when 89= when 90= when 91= when 92= when 93= when 94= when 95= when 96= when 97= when 98= when 99= when 100= when 101= when 102= when 103= when 104= when 105= when 106= when 107= when 108= when 109= when 110= when 111= when 112= when 113= when 114

12、= when 115= when 116= when 117= when 118= when 119= when 120= when 121= when 122= when 123= when 124= when 125= when 126= when 127= when 128= when 129= when 130= when 131= when 132= when 133= when 134= when 135= when 136= when 137= when 138= when 139= when others= end case; process(tone_index) case

13、tone_index istone=11111111111; -no output01100000101 -77301110010000 -91210000001100 -103610010101101 -119710100001010 -129010101011100 -137210110000010 -141010111001000 -148011000000110 -154211001010110 -162211010000100 -166811011000000 -1728 -others:no output process(clk) -control the frequence of the speaker if(tone_count=16#7ff#) then tone_count=tone; if(tone2047) then spk=not spk;=tone_count+1;end behave;七、实验结果接6M时钟频率,蜂鸣器奏响比较动听的梁祝音乐,如用其他频率,音乐会走调失真。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1