ImageVerifierCode 换一换
格式:DOCX , 页数:17 ,大小:65.46KB ,
资源ID:16255333      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/16255333.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程报告正弦波信号发生器的设计Word格式文档下载.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程报告正弦波信号发生器的设计Word格式文档下载.docx

1、,i,(int)(s+1)*255/2)其次,把上述程序编译后,在DOS命令行下执行命令:romgen sdata.mif;将生成的sdata.mif 文件,再加上.mif文件的头部说明即可。.mif文件的头部说明如下所示:WIDTH=8;DEPTH=1024;ADDRESS_RADIX=DEC;DATA_RADIX=DEC;CONTENT BEGIN0:127;1:130;2:133;3:136;4:139;5:143;6:146;(数据略去)1016:102;1017:105;1018:108;1019:111;1020:115;1021:118;1022:121;1023:124;END

2、;2、在设计正弦波信号发生器前,必须首先完成存放波形数据ROM的设计。利用MegaWizard Plug-In manager定制正弦信号数据ROM宏功能块,并将以上的波形数据加载于此ROM中。设计步骤如下:1)打开MegaWizard Plug-In manager初始对话框。在Tools菜单中选择MegaWizard Plug-In manager产生一个对话框,选择Create a new custom.项,即定制一个新的模块。单击Next按钮后,在所产生的对话框的左栏选择Storage项下的LPM_ROM,再选择Cyclone器件和VHDL语言方式;最后输入ROM文件存放的路径和文件名

3、:e:wwwrom_1024.vhd,单击Next按钮。2)选择ROM控制线、地址线和数据线。在弹出的对话框中选择地址线位宽和ROM中数据数分别为10和1024;选择地址锁存控制信号clock。3)单击Next按钮在对话框的“What should the RAM”栏选择默认的Auto。在栏选择“Do you want toYes,use this file for memory content data”项,并按Browse钮,选择指定路径上的文件rom_1024.mif。在“Allow In-System Memory”栏选择打勾,并在“The Instance ID of this RO

4、M”栏输入ROM1,作为ROM的ID名称。最后单击Next按钮,再单击Finish 按钮后完成ROM定制。4)打开此文件可以看到其中调用初始化数据文件的语句为:init_file = sdata.mif。最后生成的ROM元件文件如源代码1所示,其中的init_file指向已做了修改。修改后用于例化的波形数据ROM文件rom_1024.vhd如下。六、源代码:1)、源代码1如下所示:LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY altera_mf;USE altera_mf.altera_mf_components.all;ENTITY rom

5、_1024 IS PORT ( address : IN STD_LOGIC_VECTOR (9 DOWNTO 0); clock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) );END rom_1024;ARCHITECTURE SYN OF rom_1024 ISSIGNAL sub_wire0:STD_LOGIC_VECTOR(7 DOWNTO 0); COMPONENT altsyncram -例化altsyncram元件,调用了LPM模块altsyncram GENERIC ( -参数传递语句 -类属参量数据类型定义

6、intended_device_family : STRING; width_a : NATURAL; widthad_a : numwords_a : operation_mode : outdata_reg_a : address_aclr_a : outdata_aclr_a : width_byteena_a : init_file : lpm_hint : lpm_type : STRING PORT ( - altsyncram元件接口声明 clock0 : address_a: q_a : END COMPONENT;BEGIN q Cyclone, -参数传递映射 width_

7、a = 8, -数据线宽度8 widthad_a = 10, -地址线宽度10 numwords_a = 1024, -数据数量1024 operation_mode =ROM,-LPM模式ROM outdata_reg_a =CLOCK0,-输出锁存CLOCK0 address_aclr_a =NONE,-无异步地址清0 outdata_aclr_a =,-无输出锁存异步清0 width_byteena_a = 1, -byteena_a输出口宽度1 init_file =, -ROM初始化数据文件 lpm_hint =ENABLE_RUNTIME_MOD=NO lpm_type =alts

8、yncram -LPM类型 ) PORT MAP ( clock0 = clock, address_a = address, q_a = sub_wire0 );END SYN;2)、顶层设计代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity singt is port(clk : in std_logic; -信号源时钟 dout : out std_logic_vector(7 downto 0);-8位波形数据输出end singt;architecture dacc of

9、 singt is component rom_1024 -调用波形数据存储器LPM_ROM,文件:rom_1024.vhd声明 port(address:in std_logic_vector(9 downto 0);-10位地址信号 clock : -地址锁存时钟 q:out std_logic_vector(7 downto 0);end component; signal q1:std_logic_vector(9 downto 0);-设内部节点作为地址计数器 beginprocess(clk) -LPM_ROM地址发生进程begin if clkevent and clk=1 th

10、en q1q1,q=dout,clock=clk); -例化end dacc;3)为此顶层设计创建一项工程,工程名和实体名都是singt。4)全程编译一次后进入时序仿真测试。由波形可见,随着每一个时钟上升沿的到来,输出端口将正弦波数据依次输出。5)硬件测试。选择电路模式5,则时钟CLK接实验箱的clock0 。将dout(0)dout(7)分别锁定于实验系统上与DAC0832相接的I/O口:PIO24、PIO25、PIO26、PIO27、PIO28、PIO29、PIO30、PIO31。编译下载singt.sof后,打开电压开关,将CLK的时钟通过实验箱上clock0的跳线选择频率为12MHZ,

11、再将示波器接于实验箱的两个挂钩上就能观察波形的输出情况了。七、仿真波形图:八、仿真电路图:九、引脚锁定:十、设计结果:Clock0=12MHZ,输出频率为:f=47KHZ,周期为:T=21us十一、设计体会:在本次设计的过程中,我在编译过程中出现问题,第一个问题出在建立.mif格式文件中,没有将生成的sdata.mif文件加上.mif文件的头部说明。由于没有加.mif文件的头部说明,导致用于例化的波形数据ROM文件rom_1024.vhd编译失败。最后在老师的指导帮助下,正确的把.mif文件的头部说明加在了生成的sdata.mif 文件中,这样rom_1024.vhd文件编译成功。第二个问题出

12、现在完成存放波形数据ROM的设计过程中,没有正确的选择指定路径文件名sdata.mif导致用于例化的波形数据ROM文件rom_1024.vhd编译失败,经过我的思考和分析后,我正确的选择指定路径文件名sdata.mif,这样编译正确通过。最后,正确的设计正弦波信号发生器的顶层文件sight.vhd,使设计顺利的通过编译。在编译下载singt.sof后,当改变实验箱上clock0的跳线频率时,相应的波形输出情况也发生相应的变化。在这次设计中,我也深深地体会到“细节决定成败”这句话的真正含义,也许就因为一个小的细节就会导致设计的失败。这次设计也启发了我在以后的学习中一定要耐心、细心、认真,不可粗枝

13、大叶。 library ieee;entity abc isport(clk :in std_logic;dout :out integer range 255 downto 0;a :in std_logic);architecture one of abc issignal Q : integer range 127 downto 0;signal W : integer range 255 downto 0;signal D :signal C : integer range 63 downto 0;begin process (clk)if clkevent and clk = the

14、n Q = Q+1;C = C+1;if Q 127 THEN QELSE Q=0;if C 63 THEN CELSE C D =254; WHEN 002=252; WHEN 003=249; WHEN 004=245; WHEN 005=239; WHEN 006=233; WHEN 007=225; WHEN 008=217; WHEN 009=207; WHEN 010=197; WHEN 011=186; WHEN 012=174; WHEN 013=162; WHEN 014=150; WHEN 015=137; WHEN 016=124; WHEN 017=112; WHEN

15、018=99; WHEN 019=87; WHEN 020=75; WHEN 021=64; WHEN 022=53; WHEN 023=43; WHEN 024=34; WHEN 025=26; WHEN 026=19; WHEN 027=13; WHEN 028=8; WHEN 029=4; WHEN 030=1; WHEN 031= WHEN 032= WHEN 033= WHEN 034= WHEN 035= WHEN 036= WHEN 037= WHEN 038= WHEN 039= WHEN 040= WHEN 041= WHEN 042= WHEN 043= WHEN 044=

16、 WHEN 045= WHEN 046= WHEN 047= WHEN 048= WHEN 049= WHEN 050= WHEN 051= WHEN 052= WHEN 053= WHEN 054= WHEN 055= WHEN 056= WHEN 057= WHEN 058= WHEN 059= WHEN 060= WHEN 061= WHEN 062= WHEN 063=END CASE;CASE Q IS W WHEN 065= WHEN 066= WHEN 067= WHEN 068= WHEN 069= WHEN 070= WHEN 071= WHEN 072= WHEN 073=

17、 WHEN 074= WHEN 075= WHEN 076= WHEN 077= WHEN 078= WHEN 079= WHEN 080= WHEN 081= WHEN 082= WHEN 083= WHEN 084= WHEN 085= WHEN 086= WHEN 087= WHEN 088= WHEN 089= WHEN 090= WHEN 091= WHEN 092= WHEN 093= WHEN 094= WHEN 095= WHEN 096= WHEN 097= WHEN 098= WHEN 099= WHEN 100= WHEN 101= WHEN 102= WHEN 103= WHEN 104= WHEN 105= WHEN 106= WHEN 107= WHEN 108= WHEN 109= WHEN 110= WHEN 111= WHEN 112= WHEN 113= WHEN 114= WHEN 115= WHEN 116= WHEN 117= W

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1