ImageVerifierCode 换一换
格式:DOCX , 页数:23 ,大小:180.41KB ,
资源ID:16200099      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/16200099.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(NiosIIBoot分析Word文件下载.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

NiosIIBoot分析Word文件下载.docx

1、2.2从外部CFI 并行Flash中Boot这种Boot方式还可以分为2种情况:(1)程序直接在Flash中运行:这种情况程序不需要另外的Bootloader,NiosII复位时从reset地址(指向Flash内部)开始执行程序,程序必须有启动代码用于搬移.rwdata段(因为.rwdata段是可读写的,不能存放在Flash中),同时如果.rodata段和.exceptions段连接时没有指定在Flash中的话,也会被搬到RAM中,并对.bss段清零,设置栈指针。这些工作都在Crt0.s中完成。(2)程序在RAM中运行:这种情况下需要有一个专门的Bootloader,它把存放在Flash中的各

2、个程序段搬到执行时的真正位置。3、从EPCS中Boot要支持NiosII从EPCS中Boot,首先FPGA器件要支持主动串行配置。Altera的Cyclone、CycloneII和StratixII系列的FPGA支持AS配置。直到NiosII 5.1版本,NiosII从EPCS中Boot在StratixII系列的FPGA上实现上仍有问题。所以这种方式主要用于Cyclone和CycloneII系列的器件。为实现这种Boot方式,用户必须在SOPC Builder中添加一个EPCS控制器,无须给它分配管腿,QuartusII会自动将它分配到专用管腿上。添加完EPCS控制器后,SOPC Builde

3、r会给它分配一个Base Address,这个地址是EPCS控制器本身携带的片上ROM在NiosII系统中的基地址,里面存有Bootloader代码,用于引导整个过程。所以,必须在SOPC Builder的“NiosII MoreCPUsetting”页表中把reset地址设置为这个基地址,使得NiosII 复位后从这个地址开始执行以完成整个引导过程。3.1EPCS控制器的Bootloader分析EPCS控制器带有一块片内ROM,内有Bootloader代码,NiosII就靠这段代码完成Boot过程。它把EPCS里的NiosII程序映像复制到RAM中,然后跳转到RAM中运行。程序映像是由elf

4、2flash输出的,Bootloader对被搬运的程序映像的位置和结构的解读必须和elf2flash工具一致。FPGA配置数据从EPCS中偏移为0的地址开始存放,紧挨着配置数据的是一个32位的整数,指示程序段的长度,接着是一个32位的地址,指示程序段执行时该程序段的地址,把这个长度和地址一起称为“程序记录”,“程序记录”随后就是程序段映像。一个程序可能有多个程序段,也就可能有多个“程序记录”和程序段映像。Bootloader必须知道FPGA配置数据的长度以读取配置数据后面的内容,不同型号的FPGA的配置数据长度不同,必须读取配置数据的头部信息以获取配置数据的长度,进而逐个读取程序段映像的长度和

5、运行时地址,然后把程序段映像搬到目的运行时地址。为了存取EPCS,Bootloader构造了一些位置无关汇编代码。EPCS存贮布局如下所示:当Bootloader读取到L时,L0表示前面所有的程序记录已经处理完毕,这是最后一个程序记录,直接跳到地址A执行,显然A必须是程序的入口地址。如果L0xffffffff(即-1),忽略A并停机,这样即使是一个只有FPGA配置数据而没有程序的EPCS也是安全的。当一个EPCS只有配置数据而没有程序的时候,sof2flash会在配置数据的末尾增加4个字节的0xff使Bootloader不会有误动作。Bootloader工作流程如下:3.2EPCS控制器EPC

6、S控制器手册没有对EPCS进行详细的说明,只是建议用户使用Altera的HAL函数来存取。EPCS控制器由两个独立的部件构成:(1)ROM:大小是512Bytes,也就是128WORDS。尽管EPCS控制器手册表述了ROM的大小是1K字节,但实际上直到NiosII 5.1 EPCS控制器的ROM仍然是512Bytes,因此手册中给出的寄存器偏移地址都需要修正。(2)SPI Master控制器:EPCS串行存贮器的接口符合SPI标准。NiosII可以通过SPI Master来存取EPCS串行存贮器。上述两个部件的地址(从NiosII角度看,以字节为单位)安排如下:偏移地址寄存器R/W位描述31.

7、00x000Boot ROM MemoryRBoot Loader Code epcs_controller_boot_rom.hexor epcs_controller_boot_rom.dat0x0040x1FC0x200Rx Data31.8 (Not Implemented)Rx Data(7.0)0x204Tx DataWTx Data(7.0)0x208Status31.1110987654321EOPERRDYTRDYTMTTOEROE0x20CControlIEOPIEIRRDYITRDYITOEIROE0x210Reserved-0x214Slaver Enable31.16

8、151413SS_15SS_14SS_13SS_3SS_2SS_1SS_00x218End of PacketEnd of character(7.0) Rx Data寄存器:NiosII从Rx Data寄存器中读出从EPCS中接收到的数据。当接收移位reg收到满8位数据时,status RRDY位被置1,同时数据被传入Rx Data寄存器。读取Rx Data寄存器会把RRDY位清掉,而往Rx Data写则没有影响。 Tx Data寄存器:NiosII把要发送的数据写到Tx Data寄存器。Status TRDY位置1表示Tx Data寄存器准备好接收来自NiosII的新数据。Tx Data被

9、写了之后,TRDY位被置0,直到数据从Tx Data转移到发送移位寄存器又会被重新置为1。 status寄存器:包含指示当前状态的位。几乎每一位都和control的一个中断允许位相关。NiosII任何时候都可以读取status寄存器,不会影响该寄存器的值。往status寄存器写将清除ROE,TOE和E这些位。下表描述了各个位的含义:位名称含义接收溢出错误。当Rx Data寄存器数据满的时候(RRDY为1),接收移位寄存器又往Rx Data寄存器写,那ROE位将被置1,而新的数据会覆盖老的数据。往status寄存器写可以把ROE位清0。发送溢出错误。如果Tx Data寄存器数据还没有被转移到发送

10、移位寄存器(TRDY为0),又往Tx Data寄存器写,那TOE就会被置为1,新的数被忽略。往status寄存器写可以清TOE为0。发送移位寄存器空。如果一个发送过程正在进行中,那TMT为0;如果发送移位寄存器为空,则TMT为1。发送器准备好接收新的发送数据。当Tx Data寄存器空的时候,TRDY为1。接收器准备好送出接收到的数。当Rx Data寄存器满的时候,RRDY为1。有错误产生。它是TOE和ROE的逻辑或。只要TOE或ROE中有一个为1,那它也为1。它给程序提供了一个判断有错误发生的方便的途径。往status寄存器写可以把E位清0。包结束标志。该标志在下列情况下被置1:1. 一个EO

11、P字节被写入Tx Data寄存器2. 一个EOP字节从Rx Data寄存器中读出EOP字节是End of Packet中的End of Character字节。往status寄存器写可以把EOP位清0。 control寄存器:控制SPI Master的操作。NiosII可以在任何时候读取control寄存器而不改变它的值。大部分control的位(IROE,ITOE,ITRDY,IRRDY和IE)控制status相应位的中断。比如:当IROE设为1,就允许当status ROE为1时产生中断。只有当control和stauts中的相应位都为1时,SPI Master才会产生中断。允许ROE条件

12、满足时产生中断。允许TOE条件满足时产生中断。允许TRDY条件满足时产生中断。允许RRDY条件满足时产生中断。允许E条件满足时产生中断。允许EOP条件满足时产生中断。SSO强制slave enable寄存器器中为1的位对应的ss_n有效,即输出电平0。 slave enable寄存器:它的某一位置1表示相应的ss_n信号可以被驱动有效(即在control中写SSO位为1,或者有数据写入Tx Data寄存器准备开始传送数据)。slave enable寄存器可以多位为1,但是需要有其它逻辑来处理多个SPI slave的冲突问题。 End of Packet寄存器:包含End of Characte

13、r,当某一Avalon master读出的Rx Data字节和End of Character一样,或者写入Tx Data的字节和End of Character一样时,SPI Master产生EOP标志。如果该Avalon master支持endofpacket信号,则会中断传输。EPCS控制器在实例化SPI Master时使用下列参数:数据位8位;SPI时钟SCLK频率20MHz;MOSI(ASDO)在SCLK下降沿输出;MISO(DATA0)在SCLK上升沿采样;SCLK初始相位为0;MSB先输出,LSB后输出;目标延迟100us(即ss_n输出为低到SCLK开始驱动输出时钟脉冲的延迟为

14、100us)。3.3EPCS串行存贮器件Altera器件手册对EPCS器件有完整清楚的表述。在read byte,read status和read silicon ID操作时,发出命令后,所要的数据会马上从EPCS的DATA管腿移出。所以EPCS控制在发出命令后继续发送虚拟数据(比如0或随便什么值),在发送虚拟数据的同时接收EPCS送出的数据,就可以获取所要的数据。SPI接口的发送和接收是同时的,为了接收数据,你必须发送点什么,尽管这些数据是对方不需要的,同样在你发送命令或数据的同时也会收到点什么,尽管这些也不一定是你需要的。4、从并行Flash中Boot4.1并行Flash配置控制器Nios

15、II应用常常把NiosII程序和FPGA配置数据都存放在Flash中,这就需要一个配置控制器来驱动Flash输出配置数据完成FPGA的配置,配置控制器可以用一片CPLD来实现。Flash除了可以存贮FPGA配置数据和NiosII程序外,还可以存贮其它数据(如只读文件系统)。Flash中的配置数据区还可以分为两个区:一个用于用户逻辑,另一个用于出厂逻辑。当用户逻辑配置失败后,就会自动使用出厂逻辑,保证任何时候都有一个配置可以工作。另外,配置控制器还可以接收来自NiosII的重配置请求,并驱动FPGA重新配置,完成FPGA的现场升级。Stratix开发板的配置控制安排偏移量为0的地方存放NiosI

16、I程序,而FPGA用户配置逻辑从偏移量0x600000开始,出厂配置则从偏移量0x700000开始。Stratix开发板的并行Flash配置控制器实际上是一个地址序列生成器,地址生成器的输入时钟是板上时钟的4分频(如:板上晶振时钟是50MHz,地址生成器时钟就是12.5MHz)。上电时,由上电复位芯片提供的复位信号复位,地址生成器初始化为用户逻辑的配置数据的偏移量(比如Stratix板是0x600000),然后开始计数并驱动地址由低往高增长,使Flash送出对应地址的配置数据。配置控制器监测FPGA的config_done信号,一旦发现FPGA配置完成就停止计数,并置Flash的地址和其它控制

17、线为高阻,以免影响NiosII对Flash的操作。FPGA配置完成后,内部逻辑开始生效,复位NiosII,NiosII开始从reset地址执行程序。4.2直接在Flash中运行程序嵌入式应用有时希望程序能够直接在Flash中运行,以节约RAM空间,降低成本。为了使程序直接在Flash中运行,可以在SOPC Builder中设置reset地址在Flash中,连接程序时可以指定程序的.text段和.rodata段存放在Flash中,而让.rwdata和堆栈放在RAM中(这两个段可读写,不能放在Flash中),同时还可以在SOPC Builder中指定exception地址到Flash中,也可节约一

18、点RAM空间。由于最后的Flash映像文件.flash文件(.flash文件其实是.srec格式的文件)中没有.bss段,程序开始时必须在RAM中建立.bss段并将其清0,同时也把.rwdata段从Flash中拷贝到RAM中(.rwdata段在程序运行时必须在RAM中),并设置栈指针,建立好C程序的工作环境然后调用C入口函数alt_main。这些都是由Crt0.s完成的。下面是Crt0.s在Flash中运行的工作流程:4.3在RAM中运行程序程序在Flash中运行通常比在RAM中慢,所以有时也希望程序能够在RAM中运行。NiosII的reset地址仍然指向Flash中(reset地址不能指向R

19、AM,RAM在上电复位时还没有被初始化),在连接程序时可以把每个段都指定到RAM中,在SOPC Builder中也可把exception部分指定到RAM中,这样连接生成的可执行文件.elf文件就是适合在RAM中运行的程序。但在实际应用中这个程序最终存放在Flash中,需要Bootloader把Flash中的程序映像拷贝到RAM中运行。工具elf2flash能够根据情况自动给程序在生成.flash文件时添加“程序记录”和Bootloader。elf2flash判断其后随参数reset地址(就是NiosII的reset地址)和程序的入口地址是不是一样,如果一样就不添加“程序记录”和Bootload

20、er,如果不一样就添加。这个Bootloader根据各个“程序记录”把程序映像拷贝到RAM中并从RAM中执行。和EPCS一样,每个“程序记录”由两个32位的数据组成,一个是程序的长度,一个目的执行地址(即程序的运行地址)。Stratix 开发板上Flash中的存贮分布如下:Bootloader的工作流程如下:运行完Bootloader仍要执行Crt0.s,但此时Crt0.s的流程和程序在Flash中直接运行的情况有一些区别:它没有初始化指令Cache,也不会企图装载别的段,这些步骤已在Bootloader中完成。程序映像已经包含这些段,在搬移程序映像时也装载了相应段(.rodata段,.rwd

21、ata段和.exceptions段),程序映像中不包含.bss段和stack,所以仍需要清.bss段、设置栈指针和全局指针。Bootloader没有存取存贮器数据,也就没有初始化数据Cache,所以Crt0.s仍然要初始化数据Cache。5、Bootloader解读Altera提供了两个Bootloader程序:一个用于从EPCS器件中Boot,另一个用于从Flash中Boot。其中,boot_loader.s是公共部分,boot_loader_epcs_bits.s用于从EPCS器件中Boot,boot_loader_cfi_bits.s用于从Flash中Boot。5.1boot_loade

22、r.s解读#ifdef EPCS #define FIND_PAYLOAD sub_find_payload_epcs / 查找EPCS中数据负荷子程序 #define READ_INT sub_read_int_from_flash_epcs / 从EPCS中读取一个32位word #define STREAMING_COPY sub_streaming_copy_epcs / 从EPCS中拷贝流的子程序 #define CLOSE_DEVICE sub_epcs_close / 关闭EPCS器件的子程序#else #define FIND_PAYLOAD sub_find_payload_

23、cfi / 查找CFI并行flash中数据负荷的子程序 #define READ_INT sub_read_int_from_flash_cfi / 从CFI并行flash中读取一个32位的word #define STREAMING_COPY sub_streaming_copy_cfi / 从CFI并行flash中拷贝流的子程序#endif#include boot_loader.h .global reset .global _start .global main .global end_of_boot_copierreset:_start:main: / 清除CPU的状态寄存器,禁止中

24、断,这个动作在硬件复位时其实已经自动完成 wrctl status, r_zero /将status清零 / 冲刷指令cache / NiosII 最多支持64Kbytes的指令cache,所以只初始化了64Kbytes的指令cache movhi r_flush_counter, %hi (0x10000)cache_loop: /初始化指令Cache initi r_flush_counter / 没有必要初始化数据cache, Bootloader不存取存贮器数据 addi r_flush_counter, r_flush_counter,-32 bne r_flush_counter,

25、 r_zero, cache_loop / 冲刷流水线 flushp /冲刷流水线 / r_flash_ptr = find_payload(); / 调用查找数据负荷子程序寻找数据负荷 nextpc return_address_less_4 br FIND_PAYLOAD / 拷贝程序段映像 / 在循环的开始,寄存器r_flash_ptr 包含“程序记录”的源地址VMA / 1) 读取“程序记录”的长度域(4-bytes)(r_data_size) / 2) 读取“程序记录”的目的地址域(4-bytes)(r_dest) / 3) 循环: / 拷贝r_data_size字节,一次一个字节:

26、*r_dest+ = *r_flash_ptr+ / 把0xFFFFFFFF装入r_halt_record,用于测试是否要停机 subi r_halt_record, r_zero, 1per_record_loop: /循环装载各个程序段 /读取“程序记录”的长度域,r_data_size = READ_INT(r_flash_ptr+)。 nextpc return_address_less_4 br READ_INT mov r_data_size, r_read_int_return_value / 读取“程序记录”的目的地址域,r_dest = READ_INT(r_flash_pt

27、r+)。 mov r_dest, r_read_int_return_value / 测试长度域是否为0 / 如果是就直接运行程序 beq r_data_size, r_zero, last_program_record / 如果长度域为-1(0xFFFFFFFF),就停机halt_record_forever: beq r_data_size, r_halt_record, halt_record_forever / 使用拷贝流子程序搬移数据 nextpc return_address_less_4 /下一个程序段 br STREAMING_COPY /改变r_flash_ptr至下一个程序段首地址 / 程序运行到这里,表明已经处理了当前的“程序记录”了, / 且知道这不是最后一个“程序记录”,因为其长度域不为0, / 这就意味着要处理下一个“程序记录” br

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1