1、三、综合实践具体内容和记录1、硬件电路设计 计数器设计这里需要四个十进制计数器(分别作为数字秒表的百分之一秒、十分之一秒、秒和分)和两个六进制计数器(分别作为数字秒表的十秒和十分),当要停止计数时,START端置0;当要计数器清零时,CLR端置0.2程序设计及仿真波形十进制计数器(count10.vhd)四个10进制计数器:用来分别对百分之一秒、十分之一秒、秒和分进行计数,其程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;enti
2、ty count10 isport( clr,start,clk:in std_logic; cout:out std_logic; daout:buffer std_logic_vector(3 downto 0);end count10;architecture behave of count10 isbeginprocess(clr,start,clk)if clr=1 then daout=0000; elsif ( clkevent and clk=) then if start= then if daout=1001cout= else daout=daout+1;0 end if
3、;end if;end process;end behave;六制计数器(count6vhd)两个6进制计数器:用来分别对十秒和十分进行计数,其程序如下: library ieee;entity count6 is port (clk,clr,start:out std_logic_vector(3 downto 0);out std_logic );end count6;architecture behave of count6 is signal temp:std_logic_vector(3 downto 0);process(clk,clr) begin if clr= then te
4、mp cout0101 else temp=temp+1; end process;daout=temp; end behave;蜂鸣器(alarm.vhd)其程序如下:entity alarm isport(clk,I: q:out std_logic );end alarm;architecture behave of alarm issignal n:integer range 0 to 20;signal q0:std_logic;process(clk)begin if clkif i= then q0n=0;elsif n=19 and i=q0=not q0;=n+1;else
5、q0qled end case; end process;选择器(seltime.vhd)entity seltime is port(clr,clk: in bit; dain0,dain1,dain2,dain3,dain4,dain5: in std_logic_vector(3 downto 0); sel: out std_logic_vector(2 downto 0); out std_logic_vector(3 downto 0);end seltime;architecture behave of seltime is signal temp:integer range 0
6、 to 5; process(clk) if (clr=) then daout sel000 temp elsif (clk=and clkevent) then if temp=5 then tempsel001=dain1; when 2=010=dain2; when 3=011=dain3; when 4=100=dain4; when 5=101=dain5; 四:数字秒表的原理图系统仿真结果:五:心得体会:通过这次课程设计,我对用EDA技术设计简单器件有了进一步的了解,在设计过程中,计数器的设计让我对数字电路加深了了解,在一开始时总是会出现多多少少的错误,通过课本、翻阅资料,对照
7、教材上类似的秒表设计的相关文件,对模块的结构及原理有了更深的认识,所以编程的时候才能得心应手。对VHDL语言的使用也有了进一步的加强,对所用到的软件有了更加深刻的了解,这对我们以后的学习和工作有很大的帮助。本实验综合性较高,让我们学习到了怎么去下载程序,也懂得了分频原理,懂得LED灯的显示原理,使得我们的知识更加丰富与实用。课设期间也发现了自己的很多不足,但是通过自己动手动脑,既增加了知识,又对专业知识得到了提升。课设对于我们来说是锻炼,是成长,短短的几天,虽然忙碌,却很充实。虽然这并不是什么伟大的设计,但是我们了解软件的元件管理深层含义,以及模块元件之间的连接概念,熟悉了FPGA设计的调试过程中手段的多样化,看着自己的成果,让我们感到一种小小的成就感,对电子系统的设计有了浓厚的兴趣。这次课设带给我锻炼一定会有更深的意义和影响。
copyright@ 2008-2022 冰豆网网站版权所有
经营许可证编号:鄂ICP备2022015515号-1