ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:169.79KB ,
资源ID:16091449      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/16091449.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(VHDL电梯控制器程序设计与仿真Word文件下载.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

VHDL电梯控制器程序设计与仿真Word文件下载.docx

1、 -电梯内人的请求信号 g1,g2,g3,g4,g5,g6 : -到达楼层信号 door : out std_logic_vector(1 downto 0); -电梯门控制信号 led : out std_logic_vector(6 downto 0); -电梯所在楼层显示 led_c_u:out std_logic_vector(5 downto 0); -电梯外人上升请求信号显示 led_c_d: -电梯外人下降请求信号显示 led_d : out std_logic_vector(5 downto 0); -电梯内请求信号显示 wahaha : out std_logic; -看门狗

2、报警信号 ud,alarm : -电梯运动方向显示,超载警告信号up,down : out std_logic ); -电机控制信号和电梯运动end dianti;architecture behav of dianti issignal d11,d22,d33,d44,d55,d66:std_logic; -电梯内人请求信号寄存信号signal c_u11,c_u22,c_u33,c_u44,c_u55: -电梯外人上升请求信号寄存信号signal c_d22,c_d33,c_d44,c_d55,c_d66: -电梯外人下降请求信号寄存信号signal q:integer range 0 t

3、o 1; -分频信号signal q1:integer range 0 to 6; -关门延时计数器 signal q2:integer range 0 to 9; -看门狗计数器signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto 0); -电梯内外请求信号寄存器signal opendoor: -开门使能信号signal updown: -电梯运动方向信号寄存器signal en_up,en_dw: -预备上升、预备下降预操作使能信号begincom:process(clk)if clkevent and clk=1 then if clr

4、= then q1=0;q2wahaha=0; -清除故障报警 elsif full= then alarm q1=3 then door=10 else door00 end if; elsif q=1 then qalarm if q2=3 then wahaha -故障报警 else if opendoor= then doorq1updown -开门操作 elsif en_up= then -上升预操作 if deng=q2+1; -关门中断 elsif quick=3; -提前关门 elsif q1=6 then doorupdown01=q1+1; -电梯进入关门状态 else q

5、1door -电梯进入等待状态 elsif en_dw= then -下降预操作 if g1= then led1001111 -电梯到达1楼,数码管显示1 if d11= or c_u11= then d11 c_u11opendoor000001 then en_up opendoor-有上升请求,则电梯进入预备上升状态 elsif dd_cc=000000 then opendoor -无请求时,电梯停在1楼待机 elsif g2=0010010 -电梯到达2楼,数码管显示2 if updown= then -电梯前一运动状态位上升 if d22= or c_u22= then d22

6、c_u22 -有当前层的请求,则电梯进入开门状态 000011 -有上升请求,则电梯进入预备上升状态 elsif dd_cc000010 then en_dw-有下降请求,则电梯进入预备下降状态 -电梯前一运动状态为下降 elsif d22= or c_d22= c_d22 -有当前层的请求,则电梯进入开门状态-有上升请求,则电梯进入预备上升状态 elsif g3=0000110 -电梯到达3楼,数码管显示3 if d33= or c_u33= then d33 c_u33000111000100 elsif d33= or c_d33= c_d33 elsif g4=1001100 -电梯到达4楼,数码管显示4 if d44= or c_u44= then d44 c_u44001111001000 elsif d44= or c_d44= c_d44 elsif g5=0100100 -电梯到达5楼,数码管显示5 if d55= or c_u55= then d55 c_u55011111010000 elsif d55= or c_d55= c_d55 elsif g6=0100000 -电梯到达6楼,数码管显示6 if d66= or c_d66= then d66 c_d66100000 else en_upen_dw -电梯进入上升或下降状态 else q=1;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1